Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Size: px
Start display at page:

Download "Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric"

Transcription

1 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: doi: /s Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU HongXia 1, KUANG QianWei 1, LUAN SuZhen 1, ZHAO Aaron 2 & TALLAVARJULA Sai 2 1 School of Microelectronics, Xidian University, Key Laboratory of Ministry of Education for Wide Band-Gap Semiconductor Materials and Devices, Xi an , China; 2 Applied Materials Inc, Sunnyvale, CA, 94086, USA Received May 25, 2009; accepted September 10, 2009 Abstract The electric characteristic of MOS capacitor with HfO 2 /SiO 2 /p-si grown by ALCVD (atom layer chemical vapor deposition) is investigated. The C-V curves show that the accumulation capacitances take on the frequency dispersion at high frequency. For MOS capacitor with ultra thin HfO 2 /SiO 2 gate stack, different fabrication processes and measurement equipment will cause parasitic effect. Here an equivalent circuit model that can eliminate the frequency dispersion effect is proposed. The C-V characteristics curve at high frequency shows some distortion because of the bulk defects and the interface states. This paper discusses the distortion of the high frequency MOS C-V characteristic curve. A data processing method is advanced and interface trap density distribution in the band gap is presented. By comparing the ideal C-V curve with the experimental C-V curve, the typical electrical parameters of MOS capacitor are extracted, including the shift of flat-band voltage, the oxide charges and the density of interface traps at the SiO 2 /Si interface. Keywords high k gate dielectric, HfO 2, frequency dispersion, equivalent circuit model, two-frequency C-V measurement, parameters extraction Citation Liu H X, Kuang Q W, Luan S Z, et al. Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric. Sci China Inf Sci, 2010, 53: , doi: /s Introduction Through efforts of several decades, semiconductor devices have been dramatically scaled down to nanoscale in order to achieve higher device density and performance. As the technology node approaches to 45 nm, the equivalent thickness of silicon oxide gate dielectric reduces to only around 1 nm, which causes intolerable gate leakage current, and makes silicon oxide tends to its fundamental physical limit [1 6]. To reduce the substantial gate leakage current resulting from direct quantum mechanical tunneling across the dielectric layer, high k dielectrics which can give large gate capacitances with dielectric films physically thicker than those of corresponding silicon oxide gate dielectrics are needed. Measurement of C-V curve is an important means to characterize electrical characteristics of high k gate dielectric in MOS devices [7 12]. Nevertheless, the resulting C-V characteristics cannot be directly Corresponding author ( hxliu@mail.xidian.edu.cn)

2 LIU HongXia, et al. Sci China Inf Sci April 2010 Vol. 53 No analyzed, as the capacitance measured in accumulation regime appears to be strongly dependent of the measurement frequency. That is why it is called frequency dispersion effect. In this paper, an efficient equivalent circuit model is proposed. Based on this model, the correct capacitance value of HfO 2 /SiO 2 stack gate capacitance can be achieved by measuring C-V curve at two different frequencies. By analyzing the influence of the interface state charge and the distortion regulation of the C-V curve, a data processing method is proposed. Based on the corrected C-V curve, the distribution of the interface state density is presented. And the error of calculating flat-band voltage, fixed charge density and mobile charge density by traditional C-V method are discussed and corrected. 2 Sample fabrication The experiment sample was fabricated by Applied Materials Inc. MOS capacitors with HfO 2 /SiO 2 stack gate were fabricated on p-type silicon wafer. In the process, the SiO 2 dielectric with a thickness of 0.8 nm was deposited on p-type Si (100) substrates as the buffer layer, which can decrease the interface states, charge trapping and the Coulomb scatting greatly. Using ALD technology, the HfO 2 thin film with a thickness of 3 nm was deposited on ultra-thin SiO 2 layer at 330 C by precursor reaction of hafnium tetrachloride (HfCl 4 ) and water vapor. The growth rate is around 0.08 nm each cycle. After each cycle, N 2 was used to purge the deposition chamber, with the pressure of the chamber around 0.01 Torr. The stack gate dielectric consists of a 0.8 nm SiO 2 interfacial layer and a 3 nm HfO 2 layer, as is shown in Figure 1. The Al electrode with the thickness of 2000 Å was deposited on the HfO 2 /SiO 2 stack gate by electron beam physical vapor deposition (EBPVD), forming capacitors with four different areas S 1, S 2, S 3 and S 4. S 1 =21 21 πµm 2, S 2 =37 37 µm 2, S 3 = µm 2, S 4 =15 15 πµm 2. 3 Four-element equivalent circuit model Figure 2 shows the equivalent model of frequency dispersion effect. The typical two-element parallel circuit model is shown in Figure 2(a). It consists of capacitor C and conductance G. Nevertheless, as the gate oxide thickness keeps decreasing, and the leakage current caused by tunneling mechanism keeps increasing, the corresponding equivalent resistance should be considered. Moreover, the series resistance caused by the contact of metal and substrate will influence the measured capacitance. All this makes the two-element model inaccurate. Therefore, Figure 2(b) presents a three-element equivalent circuit for solving these problems. For the same equivalent thickness, HfO 2 /SiO 2 stack gate has a larger physics thickness than SiO 2, which will effectively reduce the gate leakage current. Figure 3 shows the J-V curve for 3 nm HfO 2 /0.8 nm SiO 2 stack gate. The leakage current density for the 3 nm HfO 2 /0.8 nm SiO 2 stack gate under 5 V is only µa/cm 2, which indicates that parallel resistance can be neglected for HfO 2 /SiO 2 stack gate. There are a larger number of defects in HfO 2 than in SiO 2. The trapping and de-trapping process in these defects will seriously influence the measured capacitance value. Besides, the parallel capacitance introduced by connect line and probe system will also influence the capacitance measurement [13 16]. Therefore, Figure 2(c) presents a new four-element equivalent circuit, from which we can get the corrected dual-frequency model. In this equivalent circuit, C 0 represents the ideal gate capacitance, R P is not considered for the small leakage current, R S represents the series resistance of substrate and metal contact, and R s represents the parallel capacitance introduced by connect line and probe system. Besides, the model introduces the D t that represents the influence of the defects on HfO 2 dielectric. With this model, the accurate capacitance can be calculated when testing results under two different frequencies are provided. The impedance of the two-element circuit model shown in Figure 2(a) is given by where D = G ωc Z(ω) = D j ωc(1 + D 2 ), (1) is the dissipation, and G and C both come from measurement values.

3 880 LIU HongXia, et al. Sci China Inf Sci April 2010 Vol. 53 No. 4 Figure 1 TEM photo of HfO 2 /SiO 2 stack gate MOS capacitor deposited by ALD. Figure 2 Equivalent model of frequency dispersion effect for HfO 2 /SiO 2 stack gate. (a) Two-element equivalent model; (b) three-element equivalent model; (c) four-element equivalent model. Figure 3 J-V curve for 3 nm HfO 2 /0.8 nm SiO 2 stack gate. The impedance of the four-element circuit model shown in Figure 2(c) is given by Z(ω) = Rs(1 jωcsrs) Dt j 1 + (ωcsrs) 2 + ωc0(1 + Dt 2 ). (2) The real and imaginary parts of eq. (1) are equal to those of eq. (2) respectively. The real part reads D ωc(1 + D 2 ) = R s 1 + (ωc s R s ) 2 + D t ωc 0 (1 + Dt 2 (3) ).

4 LIU HongXia, et al. Sci China Inf Sci April 2010 Vol. 53 No Figure 4 Measured curves and dual-frequency corrected C-V curves for MOS capacitors with different areas. (a) C-V curve (S 1 =21 21 πµm 2 ); (b) C-V curve (S 2 =37 37 µm 2 ); (c) C-V curve (S 3 =65 21 µm 2 ); (d) C-V curve (S 4 =15 15 πµm 2 ). The imaginary part reads 1 ωc(1 + D 2 ) = ωc s Rs (ωc s R s ) ωc 0 (1 + Dt 2 ). (4) Measuring C and G at two different frequencies, substituting them into eqs. (3) and (4) for each frequency, making subtraction, and solving C 0, D t, C s, R s, finally we have eqs. (5) (8). [ ] D t ω 1 D 1 C 0 = (ω 1 ω 2 ) 1 + Dt 2 C 1 (1 + D1 2) ω 2 D 2 C 2 (1 + D2 2), (5) D t = [C 1 C 2 (1 + D1 2)(1 + D2 2 )]2 [ω 1 C 2 (1 + D2 2) ω 2C 1 (1 + D1 2)][ω 1D 1 C 2 (1 + D2 2) ω 2D 2 C 1 (1 + D1 2 (6) )], C s = ω DC 0(1 + D 2 t ) D t (1 + D 2 ) C 0 (1 + D 2 t ) C(1 + D 2 ), (7) R s = CC 0 (1 + D 2 )(1 + D 2 t ) C s [DC 0 (1 + D 2 t ) D t C(1 + D 2 )][C 0 (1 + D 2 t ) C(1 + D 2 )]. (8) 4 Model verification and parameters extraction The C-V characteristic of the HfO 2 /SiO 2 gate MOS capacitor was measured by Keithley 590 system. Figure 4(a) (d) show the C-V curves measured at two different frequencies and four-element model corrected dual-frequency C-V curves of HfO 2 /SiO 2 /p-si MOS capacitor samples with four different areas. The areas of the capacitors are S 1 =21 21 πµm 2, S 2 =37 37 µm 2, S 3 = µm 2, S 4 =15 15 πµm 2.

5 882 LIU HongXia, et al. Sci China Inf Sci April 2010 Vol. 53 No. 4 Figure 5 Comparison of ideal C-V curve and dual-frequency corrected C-V curve. (a) C-V curve (S 1 =21 21 πµm 2 ); (b) C-V curve (S 2 =37 37 µm 2 ); (c) C-V curve (S 3 =65 21 µm 2 ); (d) C-V curve (S 4 =15 15 πµm 2 ). As shown in Figure 4, there is serious frequency dispersion at high frequency (f =1 MHz) for capacitors with different areas, which is shown using the symbol of square. The C-V characteristic with measurement frequency 100 khz is shown by the symbol of circle. Considering the influence of D t, R S and C S, the frequency dispersion can be effectively eliminated by the four-element circuit model, which is shown using the symbol of triangle. The corrected C-V curve is much closer to the C-V curve at 100 khz, with an error less than 0.1%, which means that the four-element equivalent model can correct the C-V characteristic at high frequency. The error between measured value and corrected value is caused by the hot noise. 5 Experiment result and analysis Figure 5(a) (d) give a comparison of the dual-frequency corrected C-V curve and ideal C-V curve of MOS capacitors with different areas. As shown in the figure, there is also difference between corrected curve and ideal curve. This difference is caused by the interface traps. As the interface traps cannot follow the voltage variety of alternating current under high frequency testing, the measured C-V curve will shift along the coordinate axis of voltage, which makes it different from the ideal C-V curve. Besides, compared with the ideal curve, distortion is found at the upside of the corrected C-V curve, while the underside keeps the same. As we know, the interface states located at the underside half of the band gap are donor-like interface states. The MOS devices will be in the inversion region. When the positive voltage is applied on the gate electrode, the surface band will bend toward underside and E F will approach to E c, so that this part of the C-V curve should be the same as the ideal C-V curve. When the gate voltage is negative, the surface band will bend toward upside, and the donor-like interface states above E F will be positive. In order to compensate for the extra positive charge at the interface states, an extra negative V g should be given to make the surface lie in flat-band state. As V g increases towards negative, a larger

6 LIU HongXia, et al. Sci China Inf Sci April 2010 Vol. 53 No Table 1 Extracted parameters of MOS capacitors Parameters S 1 S 2 S 3 S 4 C OX (pf) V FB (V) N OX (cm 2 ) D it (@0.56 ev) V g is needed to compensate for the positive charge interface states, which will make the C-V curve shift toward the negative direction. When E F is moved to the donor-like interface states energy level, inflexions with different variation law will appear in C-V curve. The capacitance values of different area MOS capacitors can be obtained from Figure 5. Capacitances of samples S 1, S 2, S 3 and S 4 are , , and pf respectively. The flat-band voltage can also be obtained from Figure 5. After finding out the capacitance value at 0 V in ideal C-V curve, the corresponding voltage of this capacitance value in the corrected curve is the flat-band voltage V FB, and the corresponding capacitance value is the flat-band capacitance C FB. According to the flat-band voltage equation V FB = Φ ms Q ox /C ox, the oxide charge density can be calculated if the substrate doping concentration, metal work function and flat-band voltage are given. For Al electron and p-type Si, the work function difference Φ ms = V. The oxide charge density of four samples are , , and cm 2 respectively. With Terman method, the interface state density at SiO 2 /Si interface can be calculated, which are , , and cm 2 respectively. From Figure 5, the electrical parameters of MOS capacitors with different areas can be extracted, as shown in Table 1. 6 Conclusions The electrical characteristic of HfO 2 /SiO 2 /p-si stack gate MOS capacitor is investigated. Frequency dispersion effect is found at high frequencies. Therefore, an equivalent circuit model for eliminating the frequency dispersion effect is proposed. Compared with the traditional two-frequency equivalent circuit model, three parasitic parameters, namely influence of defects, series resistance and parallel capacitance are introduced in this model. Experimental and calculated results indicate that the four-element model can eliminate the frequency dispersion effect. The existence of the interface state will influence the high frequency C-V characteristic. By studying the distribution of the interface state in the band gap, the regulation of distortion of the C-V curve are obtained. By comparing the ideal C-V curve with the experimental C-V curve, the typical electrical parameters of MOS capacitors are extracted. The experimental results show that the model can eliminate the frequency dispersion effect and provide accurate capacitance value. Acknowledgements This work was supported by the National Natural Science Foundation of China (Grant No ), the Cultivation Fund of the Key Scientific and Technical Innovation Project, Ministry of Education of China (Grant No ), Specialized Research Fund for the Doctoral Program of Higher Education (Grant No ), and Applied Materials Innovation Fund (Grant No. XA-AM ). References 1 Ribes G, Mitard J, Denais M, et al. Review on high-k dielectrics reliability issues. IEEE Trans Device Materials Reliab, 2005, 5: Kim Y M, Lee J C. Reliability characteristics of high-k dielectrics. Microelectr Reliab J, 2004, 44: Deshpande A S. Fundamental studies on alternative high-k gate dielectric materials. Dissertation for the Doctoral Degree. Chicago: University of Illinois at Chicago,

7 884 LIU HongXia, et al. Sci China Inf Sci April 2010 Vol. 53 No. 4 4 Kaushik V S, Delabie A, Pourtois G, et al. Estimation of fixed charge densities in hafnium-silicate gate dielectrics. IEEE Trans Elect Device Lett, 2006, 53: Zhang Z H, Campbell S A. Effect of deposition chemistry and annealing on charge in HfO 2 stacks. IEEE Trans Elect Device Lett, 2006, 27: Barlage D, Arghavani R, Dewaey G, et al. High-frequency response of 100 nm integrated CMOS transistors with high-k gate dielectrics. IEDM Tech Dig, 2001, 47: Lonnum J F, Johannessen J S. Dual-frequency modified C-V technique. Electron Lett, 1986, 22: Yang K J, Hu C. MOS capacitance measurements for high leakage thin dielectrics. IEEE Trans Electron Devices, 1999, 46: Nara A, Yasuda N, Satake H, et al. Applicability limits of the two-frequency capacitance measurement technique for the thickness extraction of ultrathin gate oxide. IEEE Trans Semicond Manufact, 2002, 15: Liu H X, Cai N Q. An improved two-frequency method with four-element circuit model for the novel HfO 2 as the gate dielectric (in Chinese). J Xidian Univ, 2008, 35: Luo Z, Ma T P. A new method to extract EOT of ultrathin gate dielectric with high leakage current. IEEE Electron Device Lett, 2004, 25: Lue H T, Liu C Y, Tseng T Y. An improved two-frequency method of capacitance measurement for SrTiO 3 as high-k gate dielectric. IEEE Electron Device Lett, 2002, 23: Choi J, Kim S. Effects of N 2 RPN on the structural and electrical characteristics of remote plasma atomic layer-deposited HfO 2 films. IEEE Electrochem Solid State Lett, 2006, 9: F13 F15 14 Schmitz J, Cubaynes F N, Havens R J, et al. RF capacitance-voltage characterization of MOSFETs with high leakage dielectrics. IEEE Trans Electron Devices, 2003, 24: Goo J S, Mantei T, Wieczorek K, et al. Extending two-element capacitance extraction method toward ultra leaky gate oxides using a short-channel length. IEEE Electron Devices Lett, 2004, 25: Mudanai S, Li F, Samavedam S B, et al. Interfacial defect states in HfO 2 and ZrO 2 nmos capacitors. IEEE Electron Device Lett, 2002, 23:

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures

Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures 034 Chin. Phys. B Vol. 19, No. 5 2010) 057303 Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures Liu Hong-Xia ), Wu Xiao-Feng ), Hu Shi-Gang

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC Author Haasmann, Daniel, Dimitrijev, Sima Published 2013 Journal Title Applied Physics

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Semiconductor Devices C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Global leader in environmental and industrial measurement Wednesday 3.2. afternoon Tour around facilities & lecture

More information

Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage Measurements

Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage Measurements Materials 01, 5, 1005-103; doi:10.3390/ma5061005 Review OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors

Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors 6 MOS capacitors were fabricated on silicon substrates. ALD deposited Aluminum Oxide was used as dielectric material. Various electrical

More information

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 2 Version 1.0 February 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher:

More information

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors M. Adachi 1, K. Okamoto 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, N.

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Lecture 6: 2D FET Electrostatics

Lecture 6: 2D FET Electrostatics Lecture 6: 2D FET Electrostatics 2016-02-01 Lecture 6, High Speed Devices 2014 1 Lecture 6: III-V FET DC I - MESFETs Reading Guide: Liu: 323-337 (he mainly focuses on the single heterostructure FET) Jena:

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Threshold voltage shift of heteronanocrystal floating gate flash memory

Threshold voltage shift of heteronanocrystal floating gate flash memory JOURNAL OF APPLIED PHYSICS 97, 034309 2005 Threshold voltage shift of heteronanocrystal floating gate flash memory Yan Zhu, Dengtao Zhao, Ruigang Li, and Jianlin Liu a Quantum Structures Laboratory, Department

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Circuits. L5: Fabrication and Layout -2 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L5: Fabrication and Layout -2 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE610: CMOS Analog Circuits L5: Fabrication and Layout -2 (12.8.2013) B. Mazhari Dept. of EE, IIT Kanpur 44 Passive Components: Resistor Besides MOS transistors, sometimes one requires to implement passive

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Quantification of Trap State Densities at High-k/III-V Interfaces

Quantification of Trap State Densities at High-k/III-V Interfaces Quantification of Trap State Densities at High-k/III-V Interfaces Roman Engel-Herbert*, Yoontae Hwang, and Susanne Stemmer Materials Department, University of California, Santa Barbara *now at Penn State

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University NAME: PUID: : ECE 305 Exam 5 SOLUTIONS: April 17, 2015 Mark Lundstrom Purdue University This is a closed book exam. You may use a calculator and the formula sheet at the end of this exam. Following the

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

Gate Carrier Injection and NC-Non- Volatile Memories

Gate Carrier Injection and NC-Non- Volatile Memories Gate Carrier Injection and NC-Non- Volatile Memories Jean-Pierre Leburton Department of Electrical and Computer Engineering and Beckman Institute University of Illinois at Urbana-Champaign Urbana, IL 61801,

More information

Extensive reading materials on reserve, including

Extensive reading materials on reserve, including Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Modeling and optimization of noise coupling in TSV-based 3D ICs

Modeling and optimization of noise coupling in TSV-based 3D ICs LETTER IEICE Electronics Express, Vol.11, No.20, 1 7 Modeling and optimization of noise coupling in TSV-based 3D ICs Yingbo Zhao, Yintang Yang, and Gang Dong a) School of Microelectronics, Xidian University,

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

6.012 Electronic Devices and Circuits Spring 2005

6.012 Electronic Devices and Circuits Spring 2005 6.012 Electronic Devices and Circuits Spring 2005 May 16, 2005 Final Exam (200 points) -OPEN BOOK- Problem NAME RECITATION TIME 1 2 3 4 5 Total General guidelines (please read carefully before starting):

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Long Channel MOS Transistors

Long Channel MOS Transistors Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended to Metal-Oxide-Semiconductor Field-Effect transistors (MOSFET) by considering the following structure:

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

Subthreshold and scaling of PtSi Schottky barrier MOSFETs

Subthreshold and scaling of PtSi Schottky barrier MOSFETs Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0954 Available online at http://www.idealibrary.com on Subthreshold and scaling of PtSi Schottky barrier MOSFETs L. E. CALVET,

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Lecture 15 OUTLINE MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Electrostatics t ti Charge vs. voltage characteristic Reading: Chapter 6.1 6.2.1 EE105 Fall 2007

More information

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University NAME: PUID: SECTION: Circle one: Alam Lundstrom ECE 305 Exam 5 SOLUTIONS: April 18, 2016 M A Alam and MS Lundstrom Purdue University This is a closed book exam You may use a calculator and the formula

More information

Supporting information

Supporting information Supporting information Design, Modeling and Fabrication of CVD Grown MoS 2 Circuits with E-Mode FETs for Large-Area Electronics Lili Yu 1*, Dina El-Damak 1*, Ujwal Radhakrishna 1, Xi Ling 1, Ahmad Zubair

More information

Lecture 7 MOS Capacitor

Lecture 7 MOS Capacitor EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 7 MOS Capacitor Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

SILICON-ON-INSULATOR (SOI) technology has been

SILICON-ON-INSULATOR (SOI) technology has been 1122 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 5, MAY 1998 Monte Carlo Simulation of Electron Transport Properties in Extremely Thin SOI MOSFET s Francisco Gámiz, Member, IEEE, Juan A. López-Villanueva,

More information

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 1 Analysis of Band-to-band Title of Talk Tunneling Structures Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 A Science & Technology Center Vertical Type-II TFET Structure

More information

Conductance and capacitance of bilayer protective oxides for silicon water splitting anodes

Conductance and capacitance of bilayer protective oxides for silicon water splitting anodes Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2015 Conductance and capacitance of bilayer protective oxides for silicon water

More information

The relentless march of the MOSFET gate oxide thickness to zero

The relentless march of the MOSFET gate oxide thickness to zero Microelectronics Reliability 40 (2000) 557±562 www.elsevier.com/locate/microrel The relentless march of the MOSFET gate oxide thickness to zero G. Timp a, *, J. Bude a, F. Baumann a, K.K. Bourdelle a,

More information

Master Thesis. Effect of Alkali-earth-elements Incorporation on La 2 O 3 Dielectrics for Scaled Silicon MOS Device

Master Thesis. Effect of Alkali-earth-elements Incorporation on La 2 O 3 Dielectrics for Scaled Silicon MOS Device Master Thesis Effect of Alkali-earth-elements Incorporation on Dielectrics for Scaled Silicon MOS Device Tomotsune Koyanagi Department of Electronics and Applied Physics Interdisciplinary Graduate School

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 81 (2013) 119 123 Contents lists available at SciVerse ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Effect of surface preparation on the radiation

More information

MOS Capacitor MOSFET Devices. MOSFET s. INEL Solid State Electronics. Manuel Toledo Quiñones. ECE Dept. UPRM.

MOS Capacitor MOSFET Devices. MOSFET s. INEL Solid State Electronics. Manuel Toledo Quiñones. ECE Dept. UPRM. INEL 6055 - Solid State Electronics ECE Dept. UPRM 20th March 2006 Definitions MOS Capacitor Isolated Metal, SiO 2, Si Threshold Voltage qφ m metal d vacuum level SiO qχ 2 E g /2 qφ F E C E i E F E v qφ

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Compound buried layer SOI high voltage device with a step buried oxide

Compound buried layer SOI high voltage device with a step buried oxide Compound buried layer SOI high voltage device with a step buried oxide Wang Yuan-Gang( ), Luo Xiao-Rong( ), Ge Rui( ), Wu Li-Juan( ), Chen Xi( ), Yao Guo-Liang( ), Lei Tian-Fei( ), Wang Qi( ), Fan Jie(

More information

VSP A gate stack analyzer

VSP A gate stack analyzer Microelectronics Reliability 47 (7) 74 78 www.elsevier.com/locate/microrel VSP A gate stack analyzer M. Karner *, A. Gehring, M. Wagner, R. Entner, S. Holzer, W. Goes, M. Vasicek, T. Grasser, H. Kosina,

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility Supporting Information Effects of Environmental Water Absorption by Solution-Deposited Al 2 O 3 Gate Dielectrics on Thin Film Transistor Performance and Mobility Trey B. Daunis, James M. H. Tran, and Julia

More information

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Yutaka Tokuda Department of Electrical and Electronics Engineering, Aichi Institute of Technology,

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

C-V and G-V Measurements Showing Single Electron Trapping in Nanocrystalline Silicon Dot Embedded in MOS Memory Structure

C-V and G-V Measurements Showing Single Electron Trapping in Nanocrystalline Silicon Dot Embedded in MOS Memory Structure Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society C-V and G-V Measurements Showing Single Electron Trapping in Nanocrystalline Silicon Dot Embedded in MOS Memory Structure Shaoyun Huang,

More information

ECE-343 Test 1: Feb 10, :00-8:00pm, Closed Book. Name : SOLUTION

ECE-343 Test 1: Feb 10, :00-8:00pm, Closed Book. Name : SOLUTION ECE-343 Test : Feb 0, 00 6:00-8:00pm, Closed Book Name : SOLUTION C Depl = C J0 + V R /V o ) m C Diff = τ F g m ω T = g m C µ + C π ω T = g m I / D C GD + C or V OV GS b = τ i τ i = R i C i ω H b Z = Z

More information

Graphene photodetectors with ultra-broadband and high responsivity at room temperature

Graphene photodetectors with ultra-broadband and high responsivity at room temperature SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2014.31 Graphene photodetectors with ultra-broadband and high responsivity at room temperature Chang-Hua Liu 1, You-Chia Chang 2, Ted Norris 1.2* and Zhaohui

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub

Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub G. K. Pandey 1, U.N. Tripathi 2, Manish Mishra 3 1,3 Department of Electronics, DDU Gorakhpur University, Gorakhpur -273009, India.

More information

FIELD-EFFECT TRANSISTORS

FIELD-EFFECT TRANSISTORS FIEL-EFFECT TRANSISTORS 1 Semiconductor review 2 The MOS capacitor 2 The enhancement-type N-MOS transistor 3 I-V characteristics of enhancement MOSFETS 4 The output characteristic of the MOSFET in saturation

More information

An Accurate Method for Extracting the Three Fowler- Nordheim Tunnelling Parameters Using I-V Characteristic

An Accurate Method for Extracting the Three Fowler- Nordheim Tunnelling Parameters Using I-V Characteristic Microelectronics and Solid State Electronics 2013, 2(4): 59-64 DOI: 10.5923/j.msse.20130204.01 An Accurate Method for Extracting the Three Fowler- Nordheim Tunnelling Parameters Using I-V Characteristic

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

Gate Tunneling Current andquantum EffectsinDeep Scaled MOSFETs

Gate Tunneling Current andquantum EffectsinDeep Scaled MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO., MARCH, 4 7 Gate Tunneling Current andquantum EffectsinDeep Scaled MOSFETs Chang-Hoon Choi and Robert W. Dutton Center for Integrated Systems,

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies 1 Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies Steven P. Surgnier Abstract A timing simulation is presented for a MOSFET implemented

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information