Agenda. 1. Atomic Layer Deposition Technology

Size: px
Start display at page:

Download "Agenda. 1. Atomic Layer Deposition Technology"

Transcription

1 Agenda 1. Atomic Layer Deposition Technology 2.

2 What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films) - US Patent No. 4,058,430 (1977) Atomic Layer Deposition is the Method where the Source Materials are alternately pulsed in the Reactor Chamber and on to the Substrate - Mono-layer Deposition of the Target Materials Atomic Layer Deposition (A.L.D.) is called by in Korea - A.L.E.(Atomic Layer Epitaxy), ALCVD(Atomic Layer C.V.D.), Cyclic CVD, Sequential CVD, etc. World s Best People, World s Best Products, World s Best Company. 2/49

3 ALD Mechanism Chemical Vapor Deposition is the Method where a Mixture of Gases flows over a Heated Substrate causing a Thin solid Film to Grow on the Substrate Continuous Growth by Mixture of Gas Flows Absorption Desorption Surface diffusion Surface reaction Co-exposure Co-exposure of of source source and and reactants reactants Absorption Absorption and and surface surface migration migration of of source source and and reactants reactants Chemical Chemical reaction reaction takes takes place place with with help help of of thermal thermal energy energy (or (or plasma plasma enhancement, enhancement, ) ) Desorption Desorption of of by-products by-products World s Best People, World s Best Products, World s Best Company. 3/49

4 ALD Mechanism Atomic Layer Deposition is the Method where the Source Materials are alternately pulsed in the Reactor Chamber and on to the Substrate - One Atomic Layer or Monolayer in One Reaction Cycle A Feeding A Purge B Feeding B Purge 1 Cycle A A Feeding Feeding Step Step : : Reactant Reactant A A Chemisorption Chemisorption A A Purge Purge Step Step : : Purge Purge out out Excess Excess Reactant Reactant A A & Side Side Product Product B B Feeding Feeding Step Step : : Reactant Reactant B B Chemisorption Chemisorption & Exchange Exchange Reaction Reaction B B Purge Purge Step Step : : Purge Purge out out Excess Excess Reactant Reactant B B & Side Side Product Product World s Best People, World s Best Products, World s Best Company. 4/49

5 ALD Mechanism Atomic Layer Deposition Flow Rate A Purge B Purge 1 Cycle 1 Cycle 1 Cycle 1 Cycle 1 Cycle 1 Cycle Time ALD is based on the Sequential Deposition of Individual Mono-layer by Alternate Gas Supply World s Best People, World s Best Products, World s Best Company. 5/49

6 ALD Mechanism Self-limiting Reaction is not Gas-Gas Interaction but Gas-surface Interactions Itself (ie. Ligand Exchange Dissociation, Association). Gas-surface Interface Reaction self-saturates when All Gas are converted to New Surface. New Surface cannot further react with the exposing Gas - Self-limiting reaction is the basic of ALD Process Ligand Exchange Dissociation Association World s Best People, World s Best Products, World s Best Company. 6/49

7 ALD Mechanism Surface Saturation defined by a. Ideal ALD : A monolayer after 1 Cycle may be a Full Monolayer + - b. Real ALD : A monolayer after 1 Cycle may be a Partial Monolayer(< Full Monolayer) due to Steric Hinderance of Ligand or/and Number of Bonding Sites + - World s Best People, World s Best Products, World s Best Company. 7/49

8 ALD Process Parameter ALD Process Temperature Deposition Rate Additive Gas (or Reactant Gas) Reaction Temperature Thermal Decomposition Temperature Desorption Condensation Limit Temperature Process Window for ALD Source Temperature (Vapor Pressure) Process Window For Layer by Layer & General CVD The Most Important Parameter to Control the Mechanism of an ALD Process is the Processing Temperature World s Best People, World s Best Products, World s Best Company. 8/49

9 ALD Process Parameter Source Flow Rate & Time Deposition Rate (/cycle) Source Flow Rate (sccm) - Source Flow Rate Half-reaction Saturation for Source Flow Rate > 120 sccm Saturation - Source Feeding Time Deposition Rate (/cycle) Half-reaction Saturation for Source Feeding Time > 1.3sec Saturation Source Feeding Time (sec.) ALD Reaction are carried out in an Effective Overdosing Condition for a Complete Saturation of the Surface Reaction World s Best People, World s Best Products, World s Best Company. 9/49

10 ALD Process Parameter Purge Flow Rate & Time Deposition Rate (/cycle) Purge Flow Rate Saturation Purge Flow Rate (sccm) No Mixture between Sources for Purge Flow Rate > 120 sccm - Purge Time Deposition Rate (/cycle) No Mixture between Sources for Purge Time > 1.3sec Saturation Purge Time (sec.) Parasitic CVD is suppressed by Sufficient Purge Flow Rate & Time between A Source and B Source World s Best People, World s Best Products, World s Best Company. 10/49

11 ALD Process Parameter ALD Process Guidelines Supply Reactants separately in Chamber for ALD Process Self-limiting Surface Reactions or Adsorption must be the Dominant Processes. Carry out Each Process Steps in Temperature Windows of ALD Processing Carry out in an Sufficient Source Flow Rate & Time Condition for a Complete Saturation of the Surface Reaction Suppress Parasitic CVD by Sufficient Purge Flow Rate & Time Avoid Usage of Unstable Molecular World s Best People, World s Best Products, World s Best Company. 11/49

12 ALD Characteristic Simple & Precise Thickness Control SiO 2 Film Thickness [ ] Native Native Oxide: Oxide: Dep. Dep. Rate: Rate: 1.60/cycle 1.60/cycle Poly-Si Al 2 O 3 Si SiO 2 Deposition Cycle (source : IEDM 2000, D. Buchanan) - Deposition Thickness Linear with number Reaction Cycles (Total Thickness : Growth Rate Number of Cycle) - Precise Control in Very Thin Thickness of only 10 ~ 50 World s Best People, World s Best Products, World s Best Company. 12/49

13 ALD Characteristic Excellent Step Coverage Top of Cap. 30nm Deep Trench Capacitor Bottom of Cap. ULT ALD SiO 2 29nm Aspect Ratio : 60:1 ( Height : 8,000nm / Width : 165nm ) ULT ALD SiO 2 Film Thickness - Top of Cap. : 30nm - Middle of Cap. : 29nm - Bottom of Cap. : 29.5nm Step Coverage : > 98% ULT ALD SiO 2 ULT ALD SiO 2 performs extremely High Step Coverage in a deep Contact Hole 29.5nm compare to CVD SiO 2 World s Best People, World s Best Products, World s Best Company. 13/49

14 ALD Characteristic Excellent Uniformity & Extendibility Film Thickness () Thickness () W/W Uniformity : < 3% WTW Uniformity : < 2% BTB Uniformity : < 2% 6 4 Uniformity (%) Wafer Number Repeatable Process with Excellent Thickness Uniformity (WIW <3%, WTW <2%) World s Best People, World s Best Products, World s Best Company. 14/49

15 ALD Characteristic Low Process Temperature Arb. Dep. Rate ALD Region ALD + CVD Region CVD Region Arb. Temp. Unit ALD Process Temp. < ALD + CVD Process Temp. < CVD Process Temp. The Temperature of ALD Oxide Process is about 200 ~ 400 World s Best People, World s Best Products, World s Best Company. 15/49

16 ALD Characteristic Excellent Film Quality Cl Content [%] Cl Contents Resistivity ALD TiN CVD TiN Resistivity [ [.] Atomic Contents [%] C Cl O2 N Ti Si Wafer Temperature ()( Sputtering Time (s) ALD TiN has Low Resistivity due to Low Cl Concentration. The Ratio of Ti to N was 1:1 ( Stoichiometric TiN Film ) World s Best People, World s Best Products, World s Best Company. 16/49

17 ALD Characteristic Materials Engineering ( Nano-laminate and Stack ) (source : ALD 2001, Ofer Sneh) Improve Physical and Electronic Properties Independent Adjustment of Film Properties at Interfaces and Bulk World s Best People, World s Best Products, World s Best Company. 17/49

18 ALD Characteristic Excellent Particle Performance 100 Particle Size : > In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning Particle ( # ) 50 In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning In-Situ Cleaning Wafer Numbers Clean, Stable Process with Excellent Particle Performance ( <15 adders for 0.16um ) World s Best People, World s Best Products, World s Best Company. 18/49

19 ALD Characteristic Criteria ALD CVD PVD Thickness Range < 200 > 100 > 200 Uniformity Control Range 10 Range 50 Range Film Quality - Excellent Stoichiometry - Low Pinhole Count - Stress Control Possible - Excellent Stoichiometry - Low Pinhole Count - Stress Control Possible - Limited Stoichiometry - High Pinhole - Limited Stress Control Possible Conformality 100% Step Coverage in 60:1 AR 100% Step Coverage in 10:1 AR, but Step Coverage not assured 50% Step Coverage in 10:1 AR Cleanliness No Particles due to Gas Phase Reactions Particles due to Gas Phase Reaction Particles due to Sputtering Process Window < 1% Dependency on 10% Process Parameter Changes Strong Dependency on Process Parameter Changes Very Dependent on Vacuum Integrity Scalability Nearly Transparent from 200 ~ 300mm regarding Process Results Major Parameter Changes needed for same process Results from 200 ~ 300mm Major Throughput Hit when going to from 200 ~ 300mm Extendibility No Limits 90 ~ 65nm Technology 100nm Technology Throughput Very Poor Fair Good World s Best People, World s Best Products, World s Best Company. 19/49

20 ALD Characteristic ALD Characteristic Summary Simple & Precise Thickness Controllability Excellent Thickness Uniformity for 300mm Wafer Excellent Step Coverage in Conformal Structure with High A/R Low Thermal Budget due to Low Process Temperature Excellent Film Quality Material Engineering for Improving Physical & Electronic Properties Low Particle Generation Low Throughput due to Low Deposition Rate World s Best People, World s Best Products, World s Best Company. 20/49

21 ALD Apparatus Examples of CVD Type ALD Apparatus Shower Head 4 1. Reaction Chamber Susceptor & Heater 3. Substrate 4. Shower Head for Uniform Source Supply Advantage - Excellent Uniformity due to Uniform Source Flow Disadvantage - Low Material Utilization Efficiency due to Large Reaction Volume - Long Purge Time due to Low Conductance of S/H World s Best People, World s Best Products, World s Best Company. 21/49

22 ALD Precursor Major Requirement of ALD Precursor Volatility - Preferably Liquids and Gases - Approximate Vapor Pressure Requirement : 0.1 torr Aggressive and Complete Reactions - Short Cycle Time due to Fast Saturation - High Film Purity due to Complete Reaction - No Problems of Gas Phase Reactions No Self-Decomposition - Source Decomposition would destroy the Self-limiting Film Growth (Thickness Non-uniformity and Inaccuracy) - Source Decomposition may cause Contamination World s Best People, World s Best Products, World s Best Company. 22/49

23 ALD Precursor Major Requirement of ALD Precursor Requirements No Etching of the Film or Substrate Material - Etching would prevent the Film Growth No Dissolution into the Film Substrate Sufficient Purities Minor Requirement of ALD Precursor Requirements Unreactive Volatile By-products In Expensive Easy to Synthesize and Handle Non-toxic and Environmentally Friendly World s Best People, World s Best Products, World s Best Company. 23/49

24 ALD Precursor Examples of Metal Precursors Halides - Applicable Precursor in ALD Oxide, Sulfide, Nitride Films - Volatile and Reactive enough but most of them are Solids - Maximum Surface Coverage : 1/3 ~ 1/2 (due to the size of the chloride anions and repulsion) Metal Non-Metal Film AlCl3 H2O, H2O2, O2 Al2O3 GaCl3 AsH3 GaAs SiCl4 H2O SiO2 TiCl4 NH3 TiN ZrCl4 H2O ZrO2 HfCl4 TaCl5 H2O H2O HfO2 Ta2O5 Halides World s Best People, World s Best Products, World s Best Company. 24/49

25 ALD Precursor Examples of Metal Precursors Organometallic - Alkyl, Alkoxide, -diketonato, Cyclopentadienyl, Carboxylato Compound etc. - Widely used in the CVD & MBE method. ( Especially - Semiconductor ) - Gas or Liquid so that Vapor Pressure is higher than that of Halides - In-film Impurities could be a Concern (C, H, N etc.) Metal Non-Metal Film Al(CH3)3 H2O, H2O2, O3 Al2O3 Ga(CH3)3 AsH3 GaAs In(CH3)3 AsH3 InAs Ta(OC2H5)5 H2O Ta2O5 La(thd)3 H2O, O3 La2O3 ZrCp2Cl2 O3 ZrO2 Zn(CH3COO)2 H2O ZnO2 Cyclopentadienyle World s Best People, World s Best Products, World s Best Company. 25/49

26 ALD Precursor Examples of Non-Metal Precursors Oxygen Source - Water(H2O) has been by far the mostly used precursor for oxygen source Water reacts fast with many metal halides & alkyls - The use of ozone makes the ALD oxide from the Alkyls & -diketonates faster (TMA + O3 Al2O3, La(thd)3 + O3 La2O3) Nitrogen Source - NH3 has been the by far the mostly used precursor for ALD Nitride - Three type of approaches have been take toward ALD nitride a. Epitaxial GaN from alkyls & NH3 for opto-electronics b. Polycrystalline AlN for dielectric & passivation layer c. Polycrystalline transition metal nitride (TiN, TaN, Ta3N5, MoN) from metal chloride for diffusion barrier & protective layer World s Best People, World s Best Products, World s Best Company. 26/49

27 ALD Application in Semiconductor Motivation for Device Down-Scaling Higher Speed Higher Device Density (& Functionality) Year of Production DRAM Half-pitch (nm) MPU Gate Length (nm) (Source : 2001 ITRS) Device Down Scaling Issues : Shorter Channel Length Shallow Junctions Thinner Gate Oxides Faster Interconnects (RC) World s Best People, World s Best Products, World s Best Company. 27/49

28 ALD Application in Semiconductor Semiconductor Processing Requirements Excellent Thickness Controllability Simple Process with Low Particle Generation Good Uniformity for 300mm Wafer Excellent Step Coverage Low Thermal Budget Capability to form the Layered Structure to Improve Physical & Electronic Properties Solution A.L.D Technology World s Best People, World s Best Products, World s Best Company. 28/49

29 ALD Application in Semiconductor ALD Application Cu Barrier W Nucleation Gate Dielectric Gate Spacer Cap. Dielectric Electrode Barrier World s Best People, World s Best Products, World s Best Company. 29/49

30 ALD Application Gate Dielectric Scaling of Gate Oxides Poly-Si Gate Leakage due to Tunnel Current Boron Penetration in p-mosfet - Threshold Voltage Shift Source Drain - Reliability Degradation of Gate Oxide Year of Production Gate Oxide HP EOT (nm) 1.3 ~ ~ ~ ~ ~ 1.3 HP LKG (A/) ~ ~ (source : 2001 ITRS) World s Best People, World s Best Products, World s Best Company. 30/49

31 ALD Application Gate Dielectric Why is ALD High-k for Gate Dielectric? Teq of the Gate Stack less than 1.0nm High Dielectric Constant (> ~10) Low Leakage Current (less than 1A/) Large Band Gap & Barrier Height High Thermal Stability, Interdiffusion Low Thermal Budget, Defect-free Process Ta2O5, TiO2, Al2O3, ZrO2, HfO2, BST HfO2, Al2O3, Laminate World s Best People, World s Best Products, World s Best Company. 31/49

32 ALD Application Gate Spacer Issue of High Thermal Budget in Sub-Micro Device SiO 2 Spacer Poly-Si SiN Spacer Source L Drain : Thermal Diffusion of Dopant : Gate Channel Length (L) (source : J.H. Yang, VLSI 2003) In Case of Conventional SiO 2 & SiN as Gate Spacer in Sub90nm Device, High Thermal Budget deteriorate Short Channel Characteristics ALD Process is Attractive Method for Low Thermal Budget World s Best People, World s Best Products, World s Best Company. 32/49

33 ALD Application Gate Spacer SiN Development Trend < 600 < 500 DCS + NH3 DCS + NH3 BTBAS + NH3 Atomic Layer Deposition SiO2 Development Trend < 400 SiH4 + N2O SiH4 + N2O Single CVD SiO2 Atomic Layer Deposition 0.25um 0.18um 0.13um > 0.09um Gate Length World s Best People, World s Best Products, World s Best Company. 33/49

34 ALD Application Capacitor Dielectric Requirements to the Future Capacitor Dielectric Excellent Step Coverage in Very High Aspect Ratio Structure High Dielectric Constant (>10) Easy & Accurate Thickness Control Large Band Gap & Barrier Height Low Leakage Current (less than 1A/) High Thermal Stability, Inter-diffusion SiO2 Si3N4 Al2O3 ZrO2 HfO2 Ta2O5 TiO2 SrTiO3 k ~10 ~22 ~25 ~25 ~80 ~200 Low Dielectric World s Best People, World s Best Products, World s Best Company. 34/49 Promising Low Band Gap

35 ALD Application Cu Barrier Cu Interconnect Lower Resistivity (1.7) Better EM Resistance IMD Cu Better Thermal Expansion Property But, Cu is Fast Diffuser and IMD Causes Deep-level Trapping Etch Stop IMD Cu Diffusion Barriers in <100nm should : Barrier Separate Inter metal dielectric & Cu Be Conductive Be Thin (<100) & Conformal (2.4 ~ 3.0) ALD ALD TaN TaNor or TiN TiNis is a Promising Promising Technique Technique World s Best People, World s Best Products, World s Best Company. 35/49

36 ALD Application in Semiconductor ALD Market Forecast $ Millions CAGR est. 29.5% (source : 2001 VLSI) Annual Growth Rate for ALD between 2001 and 2008 will reach 29.5% World s Best People, World s Best Products, World s Best Company. 36/49

37 What is Solution for Throughput? ALD Advantage Simple & Precise Thickness Controllability Excellent Thickness Uniformity for 300mm Wafer Excellent Step Coverage in Conformal Structure with High A/R Low Thermal Budget due to Low Process Temperature Excellent Film Quality Low Particle Generation Low Throughput due to Low Deposition Rate What is is Solutions for for Throughput? World s Best People, World s Best Products, World s Best Company. 37/49

38 What is Solution for Throughput? ALD Solution to Increase Throughput Process Development Mixture of ALD & CVD Process New Precursor Development ( High Reactivity, High Dep. Rate, High Vapor Pressure etc. ) PEALD Process Development using Plasma Hardware Development Multi-Wafer ALD System Development World s Best People, World s Best Products, World s Best Company. 38/49

39 What is Solution for Throughput? Mixture of ALD & CVD Process ALD Temperature < ALD + CVD Temperature < CVD Temperature No Purge Between A source Feeding and B source Cycle Time Reduction, ALD & CVD Reaction 3.0 Flow Rate Arb. Dep. Rate ALD Region ALD + CVD Region Arb. Temp. Unit CVD Region Disadvantage : Smaller ALD Process Flexibility Time Degradation of Film Quality due to high impurity World s Best People, World s Best Products, World s Best Company. 39/49

40 What is Solution for Throughput? PEALD Process using Plasma Cycle Time of PEALD lower than Pure ALD Deposition Rate of PEALD higher than Pure ALD To increase Choice of Chemistry or Reactant Disadvantage : Damage of Chamber or Film by Plasma (Source : 2002 ALD Conference, E. S. Choi) Problem of Step Coverage due to Plasma Life Time World s Best People, World s Best Products, World s Best Company. 40/49

41 What is Solution for Throughput? Multi-Wafer ALD System - Furnace Advantage - Throughput of Furnace Type ALD System higher than Single ALD System Disadvantage - Cross Contamination of Substrates in Batch Reactor & Batch to Batch - Difficult to prevent Back-side Dep. - Less Flexible than Single ALD System Process Capability : 25 ~ 100 wafers / 1 Batch for Process Control, Process Variation, and Maintenance World s Best People, World s Best Products, World s Best Company. 41/49

42 Summary ALD offers Several Advantages over Conventional Deposition Process! : Step Coverage, Uniformity, Film thickness Film Control & Film Quality ALD has a Number of Application in Sub 100nm Device! : High-k Dielectrics, Metal Electrodes, Barriers &. Spacers Major Issue for ALD is Throughput due to Low Deposition Rate! Equipment & Device Company are developing Solutions to improve Low Throughput! : Source Development, PEALD, Batch Type System ALD has a Bright Future in Semiconductor Processing!!! World s Best People, World s Best Products, World s Best Company. 42/49

43 Agenda 1. Atomic Layer Deposition Technology 2.

44 Value Chain IT World s Best People, World s Best Products, World s Best Company. 44/49

45 LSI Market Share (%) World s Best People, World s Best Products, World s Best Company. 45/49

46 World s Best People, World s Best Products, World s Best Company. 46/49

47 H D O D O N L 2006 R a n k C o m I n t e l S a m s u n g E l e c t r o n i c s T e x a s I n s t r u m e n t s I n f i n e o n T e c h n o l o g i e s S T M T o s h i b a y n i x S e m i c o n d u c t o r R e n e s a s A d v a n c e d M e v i c e s F r e e s c a l e S e m i c o n d u c t o r t h e r s T o t a l M p a n y i c r o e l e c t r o n i c s T e c h n o l o g y a r k e t <Semiconductor> i c r o Source: Gartner Dataquest (April 2007) 2006 R e v e n u e 30, , , , 533 9, 854 9, 783 8, 007 7, 900 7, 434 6, , , 690 Millions of U.S. Dollars 2006 M a r k e t S h a r e ( % ) R a n k <Semiconductor E q uip ment> C o m A p p l i e d M T o k y o A S M K L A -T e n c o r L a m R e s e a r c h A d v a n t e s t i k o n N o v e l l u s S y s t e m s C a n o n t h e r s T o t a l M p a n y a i n i p p o n S c r e e n E l e c t r o n a r k e t a t e r i a l s 2006 R e v e n u e 6, , , , , , , , , , M a r k e t S h a r e ( % ) Source: Gartner Dataquest (April 2007) Millions of U.S. Dollars World s Best People, World s Best Products, World s Best Company. 47/49

48 100.0% Thousands of U.S. Dollars 6,000,000 5,311,204 5,131, % 78.0% 82.3% 81.1% 60.0% 3,666,441 4,000, % 2,000, % 22.0% 17.7% 18.9% 0.0% World s Best People, World s Best Products, World s Best Company. 48/49

49 World s Best People, World s Best Products, World s Best Company. 49/49

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY Kanta Saino Device Integration Group, Technology & Development Office, Elpida Memory Inc. 7-10 Yoshikawakogyodanchi, Higashihiroshima,

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

CHAPTER 3: Epitaxy. City University of Hong Kong

CHAPTER 3: Epitaxy. City University of Hong Kong 1 CHAPTER 3: Epitaxy Epitaxy (epi means "upon" and taxis means "ordered") is a term applied to processes used to grow a thin crystalline layer on a crystalline substrate. The seed crystal in epitaxial

More information

Short course on Atomic Layer Deposition

Short course on Atomic Layer Deposition Short course on Atomic Layer Deposition Erwin Kessels & Jan-Pieter van Delft w.m.m.kessels@tue.nl www.phys.tue.nl/pmp Vapor phase deposition technologies Physical Vapor Deposition (PVD) sputtering Chemical

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Course website: http://www.physics.purdue.edu/academic_programs/courses/phys570p/ Today

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

Thin Film Deposition

Thin Film Deposition Thin Film Deposition Physical processes Evaporation: Thermal, E-beam, Laser, Ion-plating. Sputtering: DC, RF, Magnetron, Reactive. Spray: Flame, Plasma. Chemical processes Chemical Vapor Deposition (CVD):

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Theory of Hydrogen-Related Levels in Semiconductors and Oxides

Theory of Hydrogen-Related Levels in Semiconductors and Oxides Theory of Hydrogen-Related Levels in Semiconductors and Oxides Chris G. Van de Walle Materials Department University of California, Santa Barbara Acknowledgments Computations J. Neugebauer (Max-Planck-Institut,

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Atomic Layer Deposition: An Overview

Atomic Layer Deposition: An Overview Chem. Rev. 2010, 110, 111 131 111 Atomic Layer Deposition: An Overview Steven M. George* Department of Chemistry and Biochemistry and Department of Chemical and Biological Engineering, University of Colorado,

More information

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Impact du réacteur et de la pression et développement de dépôts sélectifs C. Vallée 1,3, R. Gassilloud 2, R. Vallat 1,2,

More information

In today s lecture, we will cover:

In today s lecture, we will cover: In today s lecture, we will cover: Chemical Vapour Deposition Atomic Layer Deposition Layer-by-Layer Polyelectrolyte Depositions 1 Nanofilms Goals for this section: Understand the chemistry of deposition

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Wafer holders Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Image: In-free, 3-inch sample holder fitting a quarter of a 2- inch wafer Reflection High Energy Electron

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Today Bulk semiconductor growth Single crystal techniques Nanostructure fabrication Epitaxial

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

Vacuum Technology and film growth. Diffusion Resistor

Vacuum Technology and film growth. Diffusion Resistor Vacuum Technology and film growth Poly Gate pmos Polycrystaline Silicon Source Gate p-channel Metal-Oxide-Semiconductor (MOSFET) Drain polysilicon n-si ion-implanted Diffusion Resistor Poly Si Resistor

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR Journal of Physical Science, Vol. 17(2), 161 167, 2006 161 A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR A.Y. Hudeish 1,2* and A. Abdul Aziz 1 1 School of Physics, Universiti Sains Malaysia, 11800

More information

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications Hyunsang Hwang Dept. of Materials Science and Engineering Gwangju Institute of Science and Technology (GIST), KOREA

More information

Simulating mechanism at the atomic-scale for atomically precise deposition and etching

Simulating mechanism at the atomic-scale for atomically precise deposition and etching SEMICON TechArena session on Advanced Materials 14 November 2017 Simulating mechanism at the atomic-scale for atomically precise deposition and etching Simon D. Elliott & Ekaterina Filatova simon.elliott@tyndall.ie

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Photovoltaic cell and module physics and technology

Photovoltaic cell and module physics and technology Photovoltaic cell and module physics and technology Vitezslav Benda, Prof Czech Technical University in Prague benda@fel.cvut.cz www.fel.cvut.cz 6/21/2012 1 Outlines Photovoltaic Effect Photovoltaic cell

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Photocatalysis: semiconductor physics

Photocatalysis: semiconductor physics Photocatalysis: semiconductor physics Carlos J. Tavares Center of Physics, University of Minho, Portugal ctavares@fisica.uminho.pt www.fisica.uminho.pt 1 Guimarães Where do I come from? 3 Guimarães 4 Introduction>>

More information

Recent Development of Ligand Chemistries for Next Generation Conformal PEALD/ALD of Metal & Oxides

Recent Development of Ligand Chemistries for Next Generation Conformal PEALD/ALD of Metal & Oxides Recent Development of Ligand Chemistries for Next Generation Conformal PEALD/ALD of Metal & Oxides ALD Workshop, Semicon Europa 2014, Grenoble, October 7 th 2014 N.Blasco and JM.Girard, Air Liquide Electronics

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

(12) United States Patent (10) Patent No.: US 6,638,859 B2

(12) United States Patent (10) Patent No.: US 6,638,859 B2 USOO6638859B2 (12) United States Patent (10) Patent No.: Sneh et al. (45) Date of Patent: Oct. 28, 2003 (54) APPARATUS AND METHOD TO ACHIEVE (52) U.S. Cl.... 438/680; 438/685; 438/688 CONTINUOUS INTERFACE

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information