Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Size: px
Start display at page:

Download "Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology"

Transcription

1 Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute for Systems Research University of Maryland, College Park

2 ALD Requirements Technology: Perfect atomic layer growth; Conformality; Uniformity; Material quality; Manufacturing: Cycle time; Advanced process control ESH (Environment, Safety, Health): High reactant utilization Energy Emissions Our work: Use dynamic simulation approach to study ALD equipment and process behavior: 1. Investigate tradeoff among technology, manufacturing and ESH metrics in ALD 2. Evaluate ALD equipment and process design strategy 3. Support our experimental program: process optimization and advanced process control of ALD

3 Methodology Current physical and chemical understanding Equipment and Process Model (physics-based, empirical, reduced order) Experimental Observation Verification Dynamic behavior through process cycle Simulation Tool Guidelines for equipment and process design Simulation-based technology, manufacturing and ESH Metrics

4 Dynamic Simulator for ALD Process Recipe Pressure, Exposure/Purge Time, Temperature etc. Operation Mode: Dynamic or Static Equipment Simulator Chamber, Mass flow controllers, Pumps, Valves; Partial and total pressure; Pressure control system; Process Simulator Process Process Sensing Sensing System System Differential Pumping Quadrupole Mass Spectroscopy ALD Reaction (Tungsten Nitride from WF 6 and NH 3 ) Gas phase transport Reactant adsorption and byproduct desorption Surface-condition-dependent reaction rates - surface kinetics Wafer State Deposition rate Film thickness Material quality Technology, Manufacturing and ESH Metrics Technology Material Quality Uniformity Conformality Manufacturing Cycle time Equipment cost, reliability ESH Reactant utilization Energy Emission

5 ALD Process Recipe Strategy Two possible operation modes for ALD process: Static Mode Half-reaction finishes starts On/off valve Gas Gas inlet inlet ALD Reactor (P < = Target P) Gas Gas outlet Molecular flow condition Less reactant in reactor Fast purge Molecular flow condition is preferred in static mode

6 ALD Process Recipe Strategy Dynamic Mode Reactant Carrier and gas and carrier only carrier gas gas Reaction Purge starts Throttle valve Viscous flow condition Gas inlet Gas inlet ALD Reactor (P = < Target P) Viscous carrier gas flow replaces reactant effectively during purge Gas Gas outlet Fast purge Viscous flow condition is preferred in dynamic mode Simulation Recipe Molecular Flow Condition ( 100 mtorr) Viscous Flow Condition ( 10 Torr) Static Mode Candidate to evaluate Dynamic Mode Candidate to evaluate

7 ALD Process Behaviors Dynamic simulation reveals fundamental science and equipment behavior 0.10 Reactor Static Mode Pressure (Torr) WF 6 Byproduct NH 3 Decreasing slope as reaction saturates surface coverage 1.0 WF 6 Pressure: 1 mtorr Time (Sec) Simulation results - Dynamic behavior; - Basis for overall time-integrated behavior metrics; Surface Coverage Delayed onset of surface coverage as reactant fills the chamber Exposure Time (Sec)

8 Technology and Manufacturing Metrics Tradeoff between technology performance and manufacturing efficiency In each ALD half-cycle, material quality may degrade if surface coverage is not quite complete Surface Coverage WF 6 Pressure: 1 mtorr Require high surface coverage for material quality Significant manufacturing throughput penalty if extend half-cycle to increase final surface coverage slightly Exposure Time (Sec) APC may be critical in ALD: use end point control to optimize coverage (material quality) vs. cycle time

9 Manufacturing Metrics Gas cycling is the limiting factor for ALD throughput Purge 0.10 V:1L V:3L V:5L V:1L V:3L V:5L Reactant Pressure (Torr) Increasing reactor volume Static Mode Reactant Pressure (Torr) Increasing reactor volume Dynamic Mode Purge Time (Sec) Purge Time (Sec) Shorter purge time can be achieved with smaller reactor volume Fill Gas filling time Reactor volume Flow rate Smaller reactor volume also leads to faster gas filling up Small reactor volume is important to achieve fast gas filling/purge in ALD

10 ESH Metrics Precursor utilization is an important factor in ESH Metrics Utilization (%) = Total Reacted Reactant Total Input Reactant Increasing utilization as surface reaction happens in the beginning of each half cycle Cycle A Purge Cycle B Purge Dynamic Mode Deceasing utilization as reaction saturates surface coverage while reactant is still being supplied Overall utilization in one cycle WF 6 Utilization (%) Time (Sec) Constant utilization after the half cycle

11 ESH Metrics 100 Cycle A Purge WF 6 Utilization (%) Static Mode Dynamic Mode Time (Sec) In static mode, utilization remains constant once surface reaction and coverage are complete In dynamic mode, precursor utilization continues to decrease because reaction saturates and reactant is still supplied Normally less reactant will be wasted in static mode than dynamic mode ; Less reactant is required to fill small reactor Small reactor also benefit ESH;

12 Equipment and Process Design Strategy Dynamic simulation also benefits equipment and process design strategy Key requirements: Small ALD reactor volume; Ability to operate under both static and dynamic modes; Our answer: Small ALD reactor embedded in big vacuum chamber Small ALD Reactor Vacuum Chamber Gas inlet 10-5 torr Gas outlet/sampling Wafer Substrate heater

13 Equipment and Process Design Strategy Two operational modes Precursor Purge B A exposure Static Mode ALD Reactor Gas inlet Gas inlet Vacuum Chamber Vacuum Chamber torr 0.1 torr Sampling (Only little gas Removal) Wafer Substrate heater Vacuum Chamber 10-5 torr Dynamic Mode Gas Inlet 10 torr Gas Outlet

14 ALD Reactor Design Motion Device 8 inch 6-way cross as the vacuum chamber Reactor cap Gas inlet Gas outlet ALD reactor Small ALD reactor volume: ~ 0.5 L; Vacuum chamber maintained at low pressure (10-5 Torr) via turbo pump; Gas inlet To gas exhaust and QMS Motion Device

15 ALD Reactor Design Main vacuum chamber Movable reactor cap Loadlock

16 Sensor System Integration Strategy Dynamic simulation benefits sensor system design for ALD Vacuum Chamber Valve Orifice QMS System ALD reactor Sampling tube e.g. optimization of orifice and sampling tube size on response time Response Time (Sec) Orifice diameter: 150 micron Orifice diameter: 200 micron Orifice diameter: 250 micron 0 1/8 1/4 1/2 Tube Diameter (inch)

17 Summary (1) Dynamic simulation is effective to identify synergies and tradeoffs among technology, manufacturing and ESH metrics (2) Dynamic simulation results provides guideline for our ALD equipment and process design: Smaller ALD reactor volume will accelerate gas cycling and increase precursor utilization, benefiting both manufacturing and environment Normally, static mode has a higher precursor utilization than dynamic mode (3) QMS sensor system integration has been optimized through dynamic simulation analysis Dynamic simulation approach has helped us to better understand ALD process and supported our experimental program

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

A New Approach to Spatially Controllable CVD

A New Approach to Spatially Controllable CVD A New Approach to Spatially Controllable CVD Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier, and Joann

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Oct 25-29, 1999, AVS National Symposium, Seattle Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Y. Xu, T. Gougousi, N. Gupta, J. N. Kidder, Jr.,

More information

Spatially Controllable CVD: The Programmable Reactor Concept

Spatially Controllable CVD: The Programmable Reactor Concept Spatially Controllable CVD: The Programmable Reactor Concept Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier,

More information

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

Real-time sensing and metrology for atomic layer deposition processes and manufacturing Real-time sensing and metrology for atomic layer deposition processes and manufacturing Laurent Henn-Lecordier, Wei Lei, a Mariano Anderle, b and Gary W. Rubloff c Department of Materials Science and Engineering,

More information

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Jae-Ouk Choo a,b, Raymond A. Adomaitis a,b, Gary W. Rubloff b,c, Laurent Henn-Lecordier c and

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Real-time observation and optimization of tungsten atomic layer deposition process cycle Real-time observation and optimization of tungsten atomic layer deposition process cycle Wei Lei, Laurent Henn-Lecordier, Mariano Anderle, a and Gary W. Rubloff b Department of Materials Science and Engineering

More information

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control Soon Cho, Laurent Henn-Lecordier, Yijun Liu, and Gary W. Rubloff

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

This is an author-deposited version published in : Eprints ID : 19671

This is an author-deposited version published in :   Eprints ID : 19671 Open Archive TOULOUSE Archive Ouverte (OATAO) OATAO is an open access repository that collects the work of Toulouse researchers and makes it freely available over the web where possible. This is an author-deposited

More information

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Jusang Park * Hyungjun Kim School of Electrical and Electronics Engineering, Yonsei University, 262 Seongsanno,

More information

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing L. Henn-Lecordier, a) J. N. Kidder, Jr., and G. W. Rubloff Department of Materials and Nuclear Engineering

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor L. Henn-Lecordier, J. N. Kidder, Jr., and G. W. Rubloff a) Department of Materials

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Guangquan Lu, a) Laura L. Tedder, b) and Gary W. Rubloff c) NSF Engineering Research Center

More information

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

I. INTRODUCTION. Current address: Intel Corporation, electronic mail: In situ chemical sensing in AlGaN/ GaN metal organic chemical vapor deposition process for precision film thickness metrology and real-time advanced process control Soon Cho, a Daniel S. Janiak, and Gary

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool Insitu Sensing Using Mass Spectrometry and its Use for RunToRun Control on a WCVD Cluster Tool T. Gougousi', R. Sreenivasan2, Y. Xu1, L. HennLecordier', G. W. Rubloff', J. N. Kidder, Jr.', and E. Zafiriou2

More information

Physical Vapor Deposition

Physical Vapor Deposition Physical Vapor Deposition EVAPORATION SPUTTERING Typically used for metallization of semiconductors. Both Evaporation & Sputtering are done in vacuum environments. Typically: y Evaporation Pressures are

More information

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Laura L. Tedder, G. Brian Lu and Gary W. Rubloff laura_tedder@ucsd.edu brian_lu@ncsu.edu gary_rubloff@ncsu.edu NSF Engineering

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

VACUUM PUMPING METHODS

VACUUM PUMPING METHODS VACUUM PUMPING METHODS VACUUM PUMPS (METHODS) Positive Displacement Vacuum Gas Transfer Vacuum Kinetic Vacuum Entrapment Vacuum Adsorption Reciprocating Displacement Rotary Drag Fluid Entrainment Ion Transfer

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

SIMPLE MCP TRANSPORT MODEL

SIMPLE MCP TRANSPORT MODEL SIMPLE MCP TRANSPORT MODEL ANGEL YANGUAS-GIL 1. Desorption kinetics in a cylindrical pore in presence of physisorption After the end of a dose, pores in a substrate are ideally saturated with a constant

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments G. W. Rubloff www.isr.umd.edu/~rubloff/ Institute for Systems Research and Department of Materials and Nuclear

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Polymer plants continue to seek ways to increase production and efficiency without compromising safety.

Polymer plants continue to seek ways to increase production and efficiency without compromising safety. Polyethylene Polypropylene APPLICATION NOTE NOTE Polymer plants continue to seek ways to increase production and efficiency without compromising safety. Process gas analysis is integral to the control

More information

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics P. Lazzeri 1, L. Vanzetti 1, M. Bersani 1, M. Anderle 1, J.J. Park 2, Z. Lin 2,, R.M. Briber 2, G.W. Rubloff 2,

More information

NEXTorr HV 100 HIGHLIGHTS

NEXTorr HV 100 HIGHLIGHTS NEXTorr HV 100 HIGHLIGHTS General Features High pumping speed for all active gases Pumping speed for noble gases and methane High sorption capacity and increased lifetime Constant pumping speed in HV and

More information

In situ Studies of ALD Processes & Reaction Mechanisms

In situ Studies of ALD Processes & Reaction Mechanisms In situ Studies of ALD Processes & Reaction Mechanisms Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp This tutorial presentation will give (1) an overview of methods for in situ studies of ALD processes

More information

The Vacuum Sorption Solution

The Vacuum Sorption Solution The Total Sorption Solution The Vacuum Sorption Solution The Vacuum Sorption Solution www.thesorptionsolution.com About the Technique DVS Vacuum - the only gravimetric system that supports static and dynamic

More information

Supporting Information

Supporting Information Supporting Information Yao et al. 10.1073/pnas.1416368111 Fig. S1. In situ LEEM imaging of graphene growth via chemical vapor deposition (CVD) on Pt(111). The growth of graphene on Pt(111) via a CVD process

More information

K n. III. Gas flow. 1. The nature of the gas : Knudsen s number. 2. Relative flow : Reynold s number R = ( dimensionless )

K n. III. Gas flow. 1. The nature of the gas : Knudsen s number. 2. Relative flow : Reynold s number R = ( dimensionless ) III. Gas flow. The nature of the gas : Knudsen s number K n λ d 2. Relative flow : U ρ d η U : stream velocity ρ : mass density Reynold s number R ( dimensionless ) 3. Flow regions - turbulent : R > 2200

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

3. Experimental Methods and Instrumentation.

3. Experimental Methods and Instrumentation. 3. Experimental Methods and Instrumentation. 3.1 UHV Setup An ultrahigh vacuum chamber pumped by a turbo molecular pump with a base pressure in the low 10-10 mbar range was used (figure 3.1). This chamber

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005 ABSTRACT Title of dissertation: DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM Jae-Ouk Choo, Doctor of Philosophy, 2005 Dissertation directed by: Professor Raymond A. Adomaitis

More information

In today s lecture, we will cover:

In today s lecture, we will cover: In today s lecture, we will cover: Chemical Vapour Deposition Atomic Layer Deposition Layer-by-Layer Polyelectrolyte Depositions 1 Nanofilms Goals for this section: Understand the chemistry of deposition

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Integrated model for chemically enhanced physical vapor deposition of tantalum nitride-based films

Integrated model for chemically enhanced physical vapor deposition of tantalum nitride-based films Integrated model for chemically enhanced physical vapor deposition of tantalum nitride-based films Ning Li, P. W. Brenner, a and D. N. Ruzic b Plasma Materials Interactions Group, University of Illinois

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc. 9702 Gayton Road, Suite 320, Richmond, VA 23238, USA Phone: +1 (804) 709-6696 info@nitride-crystals.com www.nitride-crystals.com Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals,

More information

Atomic Layer Deposition for Continuous Roll-to-Roll Processing

Atomic Layer Deposition for Continuous Roll-to-Roll Processing Atomic Layer Deposition for Continuous Roll-to-Roll Processing S.M. George, P.R. Fitzpatrick, and Z.M. Gibbs, Departments of Chemistry and Chemical Engineering, University of Colorado, Boulder, CO ABSTRACT

More information

Supplementary Material for

Supplementary Material for www.sciencemag.org/cgi/content/full/science.aac6368/dc1 Supplementary Material for Identification of active sites in CO oxidation and water-gas shift over supported Pt catalysts Kunlun Ding, Ahmet Gulec,

More information

Roll-to-roll equipment for atmospheric atomic layer deposition for solar applications

Roll-to-roll equipment for atmospheric atomic layer deposition for solar applications Roll-to-roll equipment for atmospheric atomic layer deposition for solar applications Raymond Knaapen, VDL Enabling Technologies Group E-mail: Raymond.Knaapen@vdletg.com Amongst thin-film deposition techniques,

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

( KS A ) (1) , vapour, vapor (USA) , saturation vapour pressure. , standard reference conditions for gases. , degree of saturation

( KS A ) (1) , vapour, vapor (USA) , saturation vapour pressure. , standard reference conditions for gases. , degree of saturation ( KS A 3014-91 ) (1), standard reference conditions for gases 0, 101325 Pa (1 =760mmHg ), vacuum, low ( rough ) vacuum 100Pa, medium vacuum 100 01 Pa, high vacuum 01 10 5 Pa, ultra high vacuum ( UHV )

More information

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Wafer holders Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Image: In-free, 3-inch sample holder fitting a quarter of a 2- inch wafer Reflection High Energy Electron

More information

THIN FILM GROWTH by PECVD

THIN FILM GROWTH by PECVD THIN FILM GROWTH by PECVD This manual consists of four sections: section 1 and 2 provide a brief introduction to chemical vapour deposition and plasma technique respectively, section 3 gives the detailed

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Ramaswamy Sreenivasan Institute for Systems Research and Department of Chemical Engineering, University of Maryland,

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Portfolio. Chemical supply. systems. Bulk and. special gas distribution. Engineering, design and development. Service and operation

Portfolio. Chemical supply. systems. Bulk and. special gas distribution. Engineering, design and development. Service and operation Thin Film Solutions Portfolio Chemical supply Bulk and systems special gas distribution Engineering, design and development Service and operation Process vacuum and exhaust systems 01-2010 Global Structure

More information

Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes

Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes Milano (Italy) August 8 - September, Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes Raymond A. Adomaitis Department of Chemical and Biomolecular Engineering,

More information

Experimental Techniques for Studying Surface Chemistry in Smog Chambers

Experimental Techniques for Studying Surface Chemistry in Smog Chambers Experimental Techniques for Studying Surface Chemistry in Smog Chambers Laura T. Iraci, Jeffrey C. Johnston and David M. Golden SRI International, Menlo Park, CA Chemical reactions occurring on the walls

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Lecture 3 Vacuum Science and Technology

Lecture 3 Vacuum Science and Technology Lecture 3 Vacuum Science and Technology Chapter 3 - Wolf and Tauber 1/56 Announcements Homework will be online from noon today. This is homework 1 of 4. 25 available marks (distributed as shown). This

More information

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF Revised J. Chem. Phys. Manuscript No. AMLP16.08.0222 December 5, 2016 Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum

More information

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Tutorial on Plasma Polymerization Deposition of Functionalized Films Tutorial on Plasma Polymerization Deposition of Functionalized Films A. Michelmore, D.A. Steele, J.D. Whittle, J.W. Bradley, R.D. Short University of South Australia Based upon review article RSC Advances,

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson October 31st, 2013 Acknowledgments Jason Kyle Anderson for his help in getting the system to work

More information

Importance of in situ Monitoring in MOCVD Process and Future Prospects

Importance of in situ Monitoring in MOCVD Process and Future Prospects G u e s t F o r u m Guest Forum Series of Lectures by Screening Committees of the Second Masao Horiba Awards Importance of in situ Monitoring in MOCVD Process and Future Prospects Hiroshi Funakubo Tokyo

More information

Thermal Analysis Premium

Thermal Analysis Premium Thermal Analysis Premium HP DSC 2+ STAR e System Innovative Technology Versatile Modularity Swiss Quality DSC Measurements under Pressure for Accelerated Materials Testing Double Safety System The Right

More information

Mercury Stack Monitor SM-4

Mercury Stack Monitor SM-4 Member of the envea Group Mercury Stack Monitor SM-4 Automatic continuous emission monitor (CEM) for mercury Continuous operation Sample dilution directly at stack - works with virtually any sample matrix

More information

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process PH.D. THESIS Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process by Yiheng Xu Advisor: Gary W. Rubloff PhD 2001-2 I R INSTITUTE FOR SYSTEMS RESEARCH

More information

UltiMetal Plus Advanced Chemistry for Stainless Steel Surface Deactivation

UltiMetal Plus Advanced Chemistry for Stainless Steel Surface Deactivation UltiMetal Plus Advanced Chemistry for Stainless Steel Surface Deactivation Technical Overview Introduction Inert flow path technology Modern GC and GC/MS instrumentation is an important analytical tool

More information

Polímeros: Ciência e Tecnologia ISSN: Associação Brasileira de Polímeros Brasil

Polímeros: Ciência e Tecnologia ISSN: Associação Brasileira de Polímeros Brasil Polímeros: iência e Tecnologia SSN: 0104-1428 abpol@abpol.org.br Associação Brasileira de Polímeros Brasil Filho Nascimento, Antonio P.; Silva, Maria L. P.; Demarquette, Nicole R. Polymer production by

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Vacuum Technology and film growth. Diffusion Resistor

Vacuum Technology and film growth. Diffusion Resistor Vacuum Technology and film growth Poly Gate pmos Polycrystaline Silicon Source Gate p-channel Metal-Oxide-Semiconductor (MOSFET) Drain polysilicon n-si ion-implanted Diffusion Resistor Poly Si Resistor

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

1 st Law Analysis of Control Volume (open system) Chapter 6

1 st Law Analysis of Control Volume (open system) Chapter 6 1 st Law Analysis of Control Volume (open system) Chapter 6 In chapter 5, we did 1st law analysis for a control mass (closed system). In this chapter the analysis of the 1st law will be on a control volume

More information

In-situ Ambient Pressure XPS Study of CO Oxidation Reaction on. Pd(111) Surfaces

In-situ Ambient Pressure XPS Study of CO Oxidation Reaction on. Pd(111) Surfaces In-situ Ambient Pressure XPS Study of CO Oxidation Reaction on Pd(111) Surfaces Ryo Toyoshima, Masaaki Yoshida, Yuji Monya Yuka Kousa, Kazuma Suzuki, Hitoshi Abe, Bongjin Simon Mun,, Kazuhiko Mase, Kenta

More information

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O Solliance Perovskite based PV (PSC) Program TKI Urban Energy Days - 2017-06-21 l e d b y i m e c, E C N a n d T N O 2 Bringing together research and industry Providing insight and know-how to all partners

More information

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics Mass spectrometry (MS) is the technique for protein identification and analysis by production of charged molecular species in vacuum, and their separation by magnetic and electric fields based on mass

More information

ESH Benign Processes for he Integration of Quantum Dots (QDs)

ESH Benign Processes for he Integration of Quantum Dots (QDs) ESH Benign Processes for he Integration of Quantum Dots (QDs) PIs: Karen K. Gleason, Department of Chemical Engineering, MIT Graduate Students: Chia-Hua Lee: PhD Candidate, Department of Material Science

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information