Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Size: px
Start display at page:

Download "Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides"

Transcription

1 Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group 1

2 Motivation 1. Materials 2. Deposition method Multi-gate transistor (chipworks.com) CMOS backend: seed layers & barriers (chipworks.com) Group III Nitride TFTs (S. Bolat et al. Appl. Phys. Lett. 2014) High aspect ratio structures (e.g. memories) (chipworks.com) 2

3 Classic example of thermal ALD TiCl HCl 4 TiCl 4 OH + TiCl OTiCl HCl Courtesy of Hao Van Bui Metallic TiN films ALD: Self-limiting reactions Thickness control High aspect ratio structures NH 3 HCl NH TiCl + NH TiNH + HCl 3

4 Need for extra activation M(CH 3 ) 3 ALD of AlN and GaN compared M = Al, Ga CH 3 CH 3 M H H C H X X NH 3 CH 4 H N H H N H H H CH 3 CH 3 M H H C H X X Readily occurs for Al, difficult for Ga 4

5 Plasma-Enhanced ALD (PEALD) 1 st precursor: plasma off 2 nd precursor: plasma on 5

6 ALD classification Atomic Layer Deposition Thermal Radical-Enhanced PEALD Making radicals w/o plasma? Purpose: Hot-wire generated radicals (H, NH x, ) for ALD w/o plasma Cat. dissociation of H 2 on hot tungsten filament Joe N. Smith and Wade L. Fite, J. Chem. Phys. 32, 898, (1962) 6

7 Plasma versus Hot Wire Plasma 1. Breaking molecules by electrons or excited species 2. Number of chemical reactions can be significant 3. Ions are present => more reactions & charging 4. UV light emission 1. Catalytic dissociation by a hot ( o C) tungsten wire 2. Lower pressures possible 3. Number of reactions is limited 4. No ions Hot Wire 5. No UV 7 7

8 Outline Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Motivation Hotwire-Assisted Deposition: Confirmation of the Presence of Atomic Hydrogen HWALD of Tungsten (W) Films On the Growth of Titanium (Ti) Films Confirmation of the Presence of Nitrogen radicals On the Growth of Aluminum Nitride (AlN) Films Conclusions 8

9 Topic of the presentation HWALD: Which radicals can be formed? Confirmation of the Presence of Atomic Hydrogen 9

10 Reactor details A. Y. Kovalgin, and A. I. I. Aarnink, US Patent A1, Ellipsometer Hot-wire chimney Substrate Inner reactor Reactor 2 10

11 Is at-h delivered to the wafer surface? Atomic hydrogen reacts with Te surface producing TeH 2 : 2H + Te(s) TeH 2 (g) etching Te film There is no reaction between molecular hydrogen and Te. 11

12 Real-time monitoring of Te etching The etching of Te was real-time monitored by insitu SE (1) Introducing H 2, FILAMENT OFF (2) Stop introducing H 2, heat up the filament (3) Introducing H 2 with FILAMENT ON Te H. Van Bui et.al., ECS J. Solid State Sci. Technol. 2 (4) P149-P155 (2013). Substrate 100 nm Thickness verification by SEM 12

13 Etching of Te by H-pulses H. Van Bui et al.: ECS journal of solid state science and technology 2 (4) P149-P155 (2013). Bridge to Hot Wire ALD (HWALD) 13

14 Delivering atomic-h goes easily Adv. Mater. Interfaces 2017, Horizontal HW H 2, NH 3 Reactor 2 ~70 L Wafer few ml Reactor 1 H 2, NH 3 Vertical HW (line-of-sight) few ml Wafer Reactor 3 14

15 Topic of the presentation HWALD of Tungsten (W) Films 15

16 In-situ SE monitoring Sequential pulses of WF 6 and at-h Well-defined ALD window can be found One ALD cycle: Starting pulses here Stopping pulses here 1. at-h 2. Purge 3. WF 6 4. Purge 27

17 Properties of W High purity Excellent step coverage Yang et al., Thin Solid Films, 17

18 Crystallinity Yang et al., Thin Solid Films, 18

19 Resistivity Yang et al., Thin Solid Films, Film thickness: nm RMS: 1.4 nm 14.8 µω cm Resistivity: Resistivity mapping 100 µω cm, β-phase 15 µω cm, α-phase Ω cm 19

20 Topic of the presentation HWALD: On the Growth of Titanium (Ti) Films 20

21 Reference: ALD of TiN by TiCl 4 /NH 3 pulses 1 cycle 50% N 46% Ti 4% O H. Van Bui, A. Y. Kovalgin, and R. A. M. Wolters. ECS journal of solid state science and technology 1 (6) P285-P290 (2012). 21

22 TiCl 4 /H/NH 3 pulses H. Van Bui et al.: ECS journal of solid state science and technology 2 (4) P149-P155 (2013). Surface passivation by a-si pro Base pressure mbar N1s Si2p O1s Ti2p 43 at.% Ti 25 at.% N 35 at.% O (was 3-5 %) Atomic Atomic concentration Concentration (%) (%) Arrival of contaminants: 0,1 monolayer/s Sputter Depth (nm) Sputter depth (nm) 22

23 Cl TiCl 4 /H pulse sequence H reduces Cl groups, releasing HCl and leaving dangling bonds Cl Ti Cl Cl Cl Ti Cl Cl Cl Ti Cl Cl Cl Ti Cl Cl H H Ti Ti Cl H Cl H-O Ti O Ti Cl O-H Case #1: H atoms occupy dangling bonds Would be great but: 10-7 mbar of residual H 2 O Case #2: O atoms occupy dangling bonds TiO 2 will continue growing but very slowly as it is limited by the supply of H 2 O 23

24 Topic of the presentation It does work for W Why not for Ti, Al,? 24

25 Topic of the presentation HWALD: Which radicals can be formed? On the Presence of Nitrogen Radicals 25

26 Thickness (nm) 0,5 0,4 0,3 0,2 0,1 N-radicals delivered in line of sight Real-time SE acquisition Nitridizing Si wafer 0, Time (min) HW off HW horizontal HW vertical NH 3 Adv. Mater. Interfaces 2017, Horizontal HW Wafer Vertical HW (line-of-sight) NH 3 Wafer 26

27 Towards AlN: HW out of line-of-sight Adv. Mater. Interfaces 2017, HW on Horizontal HW NH 3 Atomic % O Al N Sputter time (min) HW off N Al Si O 350 o C Wafer 27

28 Towards AlN: HW in line-of-sight Adv. Mater. Interfaces 2017, NH 3 Vertical HW (line-of-sight) 350 o C Wafer 28

29 Conclusions ALD = self-limiting surface reactions => advantages Additional means to supply energy sometimes required HW can (to some extent) replace plasma: Generation of at-h confirmed by etching of Te films at-h: delivery in both in and out of line-of-sight possible Generation of Nitrogen radicals confirmed by nitridation of Si Nitrogen radicals: delivery in line-of-sight only Obviously (residual) oxidants can also be activated by HW Metal oxidation and reduction of the oxides should be taken into account HWALD enables (so far): Area-selective growth of high-qiality W using WF 6 /at-h Deposition of TiO x using TiCl 4 /at-h Deposition of AlN y O z using TMA and NH 3 via HW 29

30 Many thanks to: Mengdi Yang (PhD student HWALD W) Sourish Banerjee (PhD student AlN/GaN ALD) Hao Van Bui (graduated PhD student Te etching, TiN ALD) Ramazan Oguzhan Apaydin (PhD student BN) Tom Aarnink (technical support of deposition tools) Rob Wolters (discussions) Jurriaan Schmitz (discussions) Dirk Gravesteijn (discussions) Mark Smithers, Gerard Kip (MESA+) for SEM and XPS analyses. This work is financially supported by the Netherlands Organization for Scientific Research (NWO) and ASM International. 30

31 Thank you for your attention!

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of metal, dielectric, ferroelectric, and polymer thin films. We seek to understand and describe nucleation and growth

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

ALD-enabled nanopatterning: area-selective ALD by area-activation

ALD-enabled nanopatterning: area-selective ALD by area-activation ALD-enabled nanopatterning: area-selective ALD by area-activation Adrie Mackus, Ageeth Bol, and Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp Outline Introduction & Area-selective deposition Area-selective

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

ALD Nucleation and Area-Selective Deposition

ALD Nucleation and Area-Selective Deposition ALD Nucleation and Area-Selective Deposition Prof Gregory N. Parsons Department of Chemical and Biomolecular Engineering North Carolina State University Raleigh North Carolina USA 1 Outline 1. Nucleation

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

ESH Benign Processes for he Integration of Quantum Dots (QDs)

ESH Benign Processes for he Integration of Quantum Dots (QDs) ESH Benign Processes for he Integration of Quantum Dots (QDs) PIs: Karen K. Gleason, Department of Chemical Engineering, MIT Graduate Students: Chia-Hua Lee: PhD Candidate, Department of Material Science

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

(12) United States Patent (10) Patent No.: US 6,638,859 B2

(12) United States Patent (10) Patent No.: US 6,638,859 B2 USOO6638859B2 (12) United States Patent (10) Patent No.: Sneh et al. (45) Date of Patent: Oct. 28, 2003 (54) APPARATUS AND METHOD TO ACHIEVE (52) U.S. Cl.... 438/680; 438/685; 438/688 CONTINUOUS INTERFACE

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Impact du réacteur et de la pression et développement de dépôts sélectifs C. Vallée 1,3, R. Gassilloud 2, R. Vallat 1,2,

More information

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film Diamond & Related Materials 14 (2005) 1126 1130 www.elsevier.com/locate/diamond The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film C.W. Chen a, *, C.C. Huang a,

More information

Photocatalysis: semiconductor physics

Photocatalysis: semiconductor physics Photocatalysis: semiconductor physics Carlos J. Tavares Center of Physics, University of Minho, Portugal ctavares@fisica.uminho.pt www.fisica.uminho.pt 1 Guimarães Where do I come from? 3 Guimarães 4 Introduction>>

More information

Short course on Atomic Layer Deposition

Short course on Atomic Layer Deposition Short course on Atomic Layer Deposition Erwin Kessels & Jan-Pieter van Delft w.m.m.kessels@tue.nl www.phys.tue.nl/pmp Vapor phase deposition technologies Physical Vapor Deposition (PVD) sputtering Chemical

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Harvesting Heat through Seebeck Spin Tunneling Effect

Harvesting Heat through Seebeck Spin Tunneling Effect Harvesting Heat through Seebeck Spin Tunneling Effect Costel Constantin James Madison University Science Enabled by Photon Source, May 2012 Outline 1. Spintronics vs. Spin Caloritronics. 2. Novel Spin

More information

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR Journal of Physical Science, Vol. 17(2), 161 167, 2006 161 A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR A.Y. Hudeish 1,2* and A. Abdul Aziz 1 1 School of Physics, Universiti Sains Malaysia, 11800

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

In today s lecture, we will cover:

In today s lecture, we will cover: In today s lecture, we will cover: Chemical Vapour Deposition Atomic Layer Deposition Layer-by-Layer Polyelectrolyte Depositions 1 Nanofilms Goals for this section: Understand the chemistry of deposition

More information

Supporting Information

Supporting Information Supporting Information Monolithically Integrated Flexible Black Phosphorus Complementary Inverter Circuits Yuanda Liu, and Kah-Wee Ang* Department of Electrical and Computer Engineering National University

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces

Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus, Ohio 43210-1272 R. Bandhu Department of Physics,

More information

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING

CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING CARBON NANOSTRUCTURES SYNTHESIZED THROUGH GRAPHITE ETCHING Q. Yang 1, C. Xiao 1, R. Sammynaiken 2 and A. Hirose 1 1 Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place Saskatoon, SK

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Real-time electron-spin-resonance measurement of plasma induced surface interactions

Real-time electron-spin-resonance measurement of plasma induced surface interactions Realtime electronspinresonance measurement of plasma induced surface interactions 1 Naoya Sumi, 1 Kenji Ishikawa, 2 Hideo Horibe, 2 Akihiko Kono, 1 Keigo Takeda, 1 Hiroki Kondo, 1,3 Makoto Sekine and 1,3

More information

Is plasma important? Influence molecule formation?

Is plasma important? Influence molecule formation? Is plasma important? Influence molecule formation? Plasma Structure (space & time) Influence? Daan Schram Eindhoven University of Technology d.c.schram@tue.nl http://www.tue.nl/en/employee/ep/e/d/ep-uid/19780797/?no_cache=1&chash=e23e831cf0c6bebeac6023f04dd3c4b6

More information

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER 1.1149/1.229339, copyright The Electrochemical Society SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 2 MM WAFER R. Matero, A. Rahtu, S. Haukka, M. Tuominen, M. Vehkamäki 1, T. Hatanpää

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

Repetition: Ion Plating

Repetition: Ion Plating Repetition: Ion Plating Substrate HV (bis ca. 1kV) Optional ionization system Source Ionized filling gas Source material, ionized or neutral Repetition: Ion Plating Ion Species Separated ion source Ions

More information

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Yutaka Tokuda Department of Electrical and Electronics Engineering, Aichi Institute of Technology,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

UNIAQ Department of Physics

UNIAQ Department of Physics Nano4water, 2 nd dissemination Workshop Chalkidiki, 24-25 April 2012 Dr. Luca Lozzi Department of Physics University of L Aquila Italy UNIAQ Department of Physics N-doped TiO 2 nanostructured coatings

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Transparent Ohmic Contacts to N-polar n-type GaN

Transparent Ohmic Contacts to N-polar n-type GaN Transparent Ohmic Contacts to N-polar n-type GaN M. A. Hopkins a, S. Thornley b, J. Dutson b, G. Christmann c, S. Nicolay c, I. Marozau c, O. Sereda c, J. Niemela d, M. Creatore d, J. Ellis e, D.W.E. Allsopp

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Shape control and formation of tin oxide particles in organic medium

Shape control and formation of tin oxide particles in organic medium 52th Young Ceramist Social Gathering Summer Seminar 2016.06.24 Shape control and formation of tin oxide particles in organic medium Nguyen Thanh Mai Laboratory of Novel Materials Hybrid Engineering Graduate

More information

On adsorption of aluminium and methyl groups on silica for TMA/H 2 O process in atomic layer deposition of aluminium oxide nano layers

On adsorption of aluminium and methyl groups on silica for TMA/H 2 O process in atomic layer deposition of aluminium oxide nano layers Bull. Mater. Sci., Vol. 33, No. 2, April 2010, pp. 97 102. Indian Academy of Sciences. On adsorption of aluminium and methyl groups on silica for TMA/H 2 O process in atomic layer deposition of aluminium

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Plasma processes under low and atmospheric pressure.

Plasma processes under low and atmospheric pressure. Plasma processes under low and atmospheric pressure. O.Kylián, J. Hanuš, A. Choukourov, J. Kousal, A. Kuzminova, P. Solar, A. Shelemin, H. Biederman Charles University in Prague Faculty of Mathematics

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of GPC on surface OH concentration

Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of GPC on surface OH concentration PRAMANA c Indian Academy of Sciences Vol. 82, No. 3 journal of March 2014 physics pp. 563 569 Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of

More information

Surface Functionalization by Atomic Layer Deposition

Surface Functionalization by Atomic Layer Deposition Surface Functionalization by Atomic Layer Deposition Christophe Detavernier SIM User Forum - Gent 27/10/2015 CoCooN Conformal Coating of Nanomaterials Atomic layer deposition (ALD) Gas-phase thin film

More information

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane DOI: 10.1038/NMAT2384 Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane Stefan Vajda, Larry A. Curtiss, Peter Zapol et al. Center for

More information

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF Revised J. Chem. Phys. Manuscript No. AMLP16.08.0222 December 5, 2016 Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum

More information

Application of Surface Analysis for Root Cause Failure Analysis

Application of Surface Analysis for Root Cause Failure Analysis Application of Surface Analysis for Root Cause Failure Analysis David A. Cole Evans Analytical Group East Windsor, NJ Specialists in Materials Characterization Outline Introduction X-Ray Photoelectron

More information

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy Introduction Principles Instrumentation Qualitative analysis Quantitative analysis Depth profiling Mapping Examples The Auger

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Simulating mechanism at the atomic-scale for atomically precise deposition and etching

Simulating mechanism at the atomic-scale for atomically precise deposition and etching SEMICON TechArena session on Advanced Materials 14 November 2017 Simulating mechanism at the atomic-scale for atomically precise deposition and etching Simon D. Elliott & Ekaterina Filatova simon.elliott@tyndall.ie

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Gas Sensors Based on Multiwall Carbon Nanotubes Decorated with. Different Metal Oxides Nanoparticles.

Gas Sensors Based on Multiwall Carbon Nanotubes Decorated with. Different Metal Oxides Nanoparticles. Gas Sensors Based on Multiwall Carbon Nanotubes Decorated with Different Metal Oxides Nanoparticles. A. Abbaspourrad, C. Verissimo, R.V. Gelamo, M. M. da Silva, A. R. Vaz, F. P. M. Rouxinol, O. L. Alves,

More information

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis Tim Nunney The world leader in serving science 2 XPS Surface Analysis XPS +... UV Photoelectron Spectroscopy UPS He(I)

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Nanoparticle Devices. S. A. Campbell, ECE C. B. Carter, CEMS H. Jacobs, ECE J. Kakalios, Phys. U. Kortshagen, ME. Institute of Technology

Nanoparticle Devices. S. A. Campbell, ECE C. B. Carter, CEMS H. Jacobs, ECE J. Kakalios, Phys. U. Kortshagen, ME. Institute of Technology Nanoparticle Devices S. A. Campbell, ECE C. B. Carter, CEMS H. Jacobs, ECE J. Kakalios, Phys. U. Kortshagen, ME Applications of nanoparticles Flash Memory Tiwari et al., Appl. Phys. Lett. 68, 1377, 1996.

More information

Hydrogen Storage in Metalfunctionalized

Hydrogen Storage in Metalfunctionalized Hydrogen Storage in Metalfunctionalized Graphene Stefan Heun NEST, Istituto Nanoscienze-CNR and Scuola Normale Superiore Pisa, Italy Outline Introduction to Hydrogen Storage Epitaxial Graphene Hydrogen

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

Atomic Level Analysis of SiC Devices Using Numerical Simulation

Atomic Level Analysis of SiC Devices Using Numerical Simulation Atomic Level Analysis of Devices Using Numerical mulation HIRSE, Takayuki MRI, Daisuke TERA, Yutaka ABSTRAT Research and development of power semiconductor devices with (silicon carbide) has been very

More information

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 July 2008 SLAC-PUB-13302 The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface Yun Sun, a) Zhi Liu, Shiyu Sun, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 The

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle SUPPORTING INFORMATION The general fabrication process is illustrated in Figure 1. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle of 0.1. The Si was covered with

More information

Discover the Difference

Discover the Difference M-2000 Discover the Difference Focused M-2000 The M-2000 line of spectroscopic ellipsometers is engineered to meet the diverse demands of thin film characterization. An advanced optical design, wide spectral

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces Supporting information Infrared Characterization of Interfacial Si-O Bond Formation on Silanized Flat SiO 2 /Si Surfaces Ruhai Tian,, Oliver Seitz, Meng Li, Wenchuang (Walter) Hu, Yves Chabal, Jinming

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2015 Supplementary Information Engineering the Intermediate Band States in Amorphous

More information

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information