Challenges and Opportunities. Prof. J. Raynien Kwo 年

Size: px
Start display at page:

Download "Challenges and Opportunities. Prof. J. Raynien Kwo 年"

Transcription

1 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立

2 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor First First MOSFET MOSFET Metal Gate High κ gate dielectric Oxide/semiconductor interface High mobility channel Moore s Law: The number of transistors per square inch doubles every 18 months Integration of Ge, III-V with Si Shorter gate length L Thinner gate dielectrics t ox Driving force : High speed Low power consumption High package density 108Å GGG 5nm 5 nm Ge

3 Intel Transistor Scaling and Research Roadmap More non-silicon elements introduced d (production) (production-early2008) (development)

4 Major Research Subjects Enhancement of κ in the new phase through epitaxy Fundamental study by IETS for detections of phonons and defects in high κ dielectrics Room temperature ferromagnetism in cluster free, Co doped HfO 2 films

5 Can you make κ even higher? Phase Transition Engineering ---Enhancement ace etof κ in the New Phase through Epitaxy

6 Crystal structures of HfO 2 and the corresponding κ Hf O Dielectric constants * Stable phase temperature >2700 o C >1750 o C <1750 ο C The dielectric constant increases when HfO 2 structure is changed from monoclinic to other symmetry y * Xinyuan Zhao and David Vanderbrit, P.R.B. 65, , (2002).

7 Permittivity Increase of Yttrium-doped HfO 2 Through Structural Phase Transformation by Koji Kita, Kentaro Kyuno, and Akira Toriumi, Tokyo Univ. HfO 2 <1750 monoclinic κ~ >1750 cubic κ~29 >2700 tetragonal κ~70 Yttrium serves effectively as a dopant to induce a phase transformation from the monoclinic to the cubic phase even at 600 C. Yttrium-doped HfO 2 films show higher permittivity than undoped HfO 2, and the permittivity as high as 27 is obtained by 4at. % yttrium doping. The permittivity of undoped HfO 2 is reduced significantly at high temperature, t whereas thatt of 17 at. % yttrium-doped d filmshows no change even at 1000 C.

8 2x position 190 1x position 280 After deposition for 5mins Dielectric film of 4 fold symmetry in the plane After deposition for 2mins 145 Wafer rotate After reconstruction

9 HRTEM of Low Temp Growth Amorphous HfO 2 on GaAs (100) 56 Å HfO 2 A very abrupt transition from GaAs to HfO 2 over one atomic layer thickness was observed. GaAs

10 High Resolution TEM Images of Pure HfO2 on GaAs (001) An abrupt transition from GaAs to HfO2 and no interfacial layer y Coexistence of four monoclinic domains rotated by y 90º.

11 u.) Inte ensity (a X-ray Diffraction of Epitaxial HfO 2 Films Recrystalized on GaAs GaAs(002) GaAs(004) c b K H 9 a HfO 2 (002) R space K space 10-2 HfO 2 (004) L(r.l.u_GaAs) --- Monoclinic HfO 2 in R space and K space --- Forming four degenerate domains about the surface normal L HfO 2 (004) FWHM(L)= domain size 97.8 Å close to film thickness With C. H. Hsu of NSRRC

12 The Structure of HfO 2 Grown on GaAs(001) monoclinic phase a= A, b= A, c= A, β= A C D B C B Coexistence of 4 domains rotated 90º from each other A B D C B A D D A

13 The Structure of HfO 2 doped with Y 2 O 3 Grown on GaAs (100) Surface normal scan Find the peaks: (022)(400)(200)(311)(31-1)(113)(420)(133)(20-2) All peaks of film match the JCPDS of cubic phase HfO2 Use the d-spacing formula to fit the lattice parameters HfO2 doped with Y2O3 Grown on GaAs(001) is Cubic phase a=5.126a, b=5.126a, c=5.126a α=90,β=90, γ=90 (400)Phi scan (040)Phi scan

14 Comparison between Monoclinic phase and Cubic phase of HfO 2 Without doping Y 2 O 3 With doping Y 2 O L scan 200 & 220 L scan C D C B B 220 Lscan x y A B D C Monoclinic phase B A D D A Cubic phase

15 The Electrical Property of HfO 2 doped with Y 2 O 3 Grown on GaAs(001) 2 ) C(µ µf/cm k k 30k k T=110A κ= J (A/cm m 2 ) YDH/GaAs HfO 2 /GaAs E (MV/cm) Y 2 O 3 +HfO GaAs(001) V (volts)

16 Electrical properties - MBE-HfO 2 (0.8) /Y 2 O 3 (0.2) MBE-HfO 2 (0.8) /Y 2 O 3 (0.2) Annealing Temperature effects ox (pf) C o TiN/MBE-HfO 2 (0.8) /Y 2 O 3 (0.2) (~10nm)/P-Si FG 400 o C 30min 175 1k 10k 100k 10 nm TiN MBE-HfO 2 +Y 2 O 3 P-Si(100) V (voltage) F/cm 2 ) C (u MOS diodes of TiN/HfO 2.8 2(0.8) /Y 2 O 3(0.2) /p-si(100) 2.4 Different RTP conditions k ~ 30.1 k ~ 17.9 k ~ 10 J (A/cm 2 g ) x E (MV/cm) As-deposited RTP 800 o -3 C20 20s N RTP 900 o C 20s N 2 V(voltage) Increase of κ from 15 to over 30 in cubic phase!

17 Cross Sectional HRTEM Study of The Y Y-doped doped HfO2 Films in Cubic Phase Interfaces of YDH(100)/GaAs, and YDH(111)/Si are atomically sharp 11nm =[110]YDH YDH [001]YDH [1-10]YDH [001]GaAs 5nm GaAs =[110] GaAs HRTEM image of yttrium-doped HfO2 films 11 nm thick on GaAs (001). HRTEM image of yitturm-doped HfO2 films 7.5 nm thick on Si (111).

18 The Enhancement of κ through Phase Transition Engineering κ= (1 + 8π α m /3V m ) / (1 4πα m /3V m ) Clausius-Mossotti Relation Change of molar volume in Y doped HfO 2 Many high κ materials, such as HfO 2, ZrO 2, TiO 2, Ta 2 O 5, commonly have high temperature phases with a higher κ. Achieve the enhancement through phase transition engineering i by additions of dopants such as lower valence cations, followed by proper post high temperature anneals.

19 IETS Study to Detect Phonons and Defects in High κ Dielectrics But what is inside of high κ?

20 Electrical characterization / optimization -- Good News!! Low electrical leakage is common. Have Attained an EOT under nm. -- Major problems are : High interfacial state density Large trapped charge Low channel mobility Electrical stability and reliability

21 Degradation of Mobility in High κ Gate Stack Phonons may have reduced mobility seriously! Fechetti et al, JAP 90, 4587, (2001). Correction from the charge trapping effect

22 Possible Source of Mobility Degradation Fixed charge Remote-surface roughness Remote phonon Phase-separation Crystalization Interfacial dipole Interface trap Source Drain Surface roughness

23 Interactions Detectable by IETS Substrate Silicon Phonons Gate Electrode Phonons Dielectric Phonons Chemical Bonding Interfacial Structures Defects (Trap States)

24 Trap-Related Signatures in IETS I Trap Assisted Tunneling Background I-V 2 d I dv 2 Charge Trapping V Trap Assisted Tunneling Charge Trapping Wei He and T.P.Ma, APL 83,2605, (2003) ; APL 83, 5461, (2003). V

25 Inelastic Electron Tunneling Spectroscopy (IETS) Charge trapping will cause shift in the threshold voltage. Trap-assisted conduction will cause increased leakage current. Wei He and T.P.Ma, APL 83,2605, (2003) ; APL 83, 5461, (2003)

26 IET spectrum of Al/HfO 2 /Si MOS structure Al/HfO 2 /Si, vacuum 600 annealing for 3 minutes d 2 I/dV 2 (Arbit trary Unit ts) (Arbitrary Units) d 2 I/dV 2 Si TA Si LO Si TO Hf-O Al-O Al Hf-O Hf-O Hf-O Al-O -Hf Al Hf-O -Si Si-O Forward-bias (gate positive) Si-O Reverse-bias (substrate positive) Voltage (mv) APS March Meeting March 7, 2007

27 IET spectrum of Al/HfO 2 /Si MOS structure V 2 (a..u.) Wave Number [cm -1 ] (b)gate Injection Si TA Al Hf-O Hf-O Si LA Si LO Hf-O Si TO Hf-O Hf-O O-Si 1 Si-O ALD 600C N 2 d 2 I/d MBE 600 UHV MBE 600C N 2 MBE 400C Ν Monoclinic HfO 2 V bias ( m V)

28 IET spectrum of Al/HfO2/Si MOS structure u.) d 2 I/dV 2 (a. [ (a )S u bstrate injection Hf-O Si TO -1 ] W ave N umb er [cm -1 ] Si TA Al Si LA Si LO Hf-O Hf-O Hf-O ALD 600C N 2 MBE 600C UHV MBE 600C N MBE 400C N V bias (m V) Monoclinic HfO 2

29 IET Spectrum of Al/Y2O3/Si MOS Diode Cubic Y2O3

30 Determination of Physical Locations and Energy Levels of Trap in Stacked HfO 2 /Y 2 O 3 /Si Structure d 2 I/ /dv 2 (a a.u.) post-deposition annealing at 400 o C Si Charge trapping HfO 2 ~ 2nm Y 2 O 3 ~ 2nm o C Si (100) in N 1 2 ambiance for 3 min 2 Substrate t HfO 2 ~2nm Y 2 O 3 ~2nm 2 3 d t Substrate Si(100) f r d 0 ( V V ) V = V V / + t f f r ( V V ) d = d V / + V f and V r are the voltages where the charge trapping features occur in forward bias and reverse bias V bias (mv) 7 0 M. Wang et al, APL. 86, (2005) APL, 90, (2007) f r

31 Determination of Physical Locations and Energy Levels of Trap in Stacked HfO 2 /Y 2 O 3 /Si Structure Bilayer Trap V f V r V t d Sample label (mv) (mv) (mv) t /d 0 HfO 2 (1.7nm) /Y 2 O 3 (1.4nm) o C HfO 2 (1.7nm) /Y 2 O 3 (1.4nm) o C HfO 2 (1.2nm) /Y 2 O 3 (1.5nm) o C Charge trapping HfO 2 ~2nm Y 2 O 3 ~2nm 2 3 d t d0 Substrate Si(100)

32

33 Can you make HfO 2 magnetic? Diluted Magnetic Oxides Observation of Room Temperature Ferromagnetic Behavior in Cluster Free, Co doped HfO 2 Films Appl. Phys. Lett. 91, (2007)

34 Introduction o and motivation o Both injection and transport of spin-polarized p carriers are necessary for the spintronic devices. Using diluted magnetic semiconductor (DMS) as the ferromagnetic contact is one way to achieve this goal. Several models such as Zener s model, bound magnetic polaron, and F-center theory were used to describe the ferromagnetism. The potential ti usage of HfO 2 as alternative ti high-κ gate dielectrics in replacing SiO 2 for nano CMOS. Giant magnetic moment in Co doped HfO 2 as reported recently. F-center Fe 3+ Fe 3+ Oxygen vacancy

35 HR-TEM Images of High T and Low-T High-T Low T Grown Films High-T g ((700 C)) g grown film Monoclinic phase o ((100 C) 00 C) g grown, o, Low-T Polycrstalline film

36 EXAFS and Magnetic Characterization of High-T (700ºC) Grown Films 50 20% of Fourier r Transform Magnitude 40 4% % 10 1% % 4% R(A) 1 st O shell + 2 nd Co shell 2.04A 2.49A 2% EXAFS of the high-t grown samples showed a progressive formation of Co 1% clusters in the film (Co = 1-20 at.%). Superparramagnetic temperature dependence. d Saturation moment increases with increasing Co doping concentration.

37 Magnetic Property of Low-T Grown Films Substrate Temperature ( ) 40~100 40~100 40~100 Doping concentration (at.%)( Ms at 10K (µ B /Co) Ms at 300K(µ B /Co) Ferromagnetic behavior was observed at both 10K and 300K. The magnetic moment decreases with increasing Co doping due to enhanced dopant dopant associations. The magnetic properties are stable after annealing in O 2 at 350 o C. Correlation between saturation magnetization with concentrations of oxygen vacancies

38 F-center Exchange Mechanism: --An electron orbital created dby an oxygen vacancy with trapped electrons is expected to correlate with magnetic spins dispersed inside the oxides. Impurity-band Exchange Model : --The hydrogenic orbital formed by donor defect (like oxygen vacancy) associated with an electron overlaps to create delocalized impurity bands. -- If the donor concentration is large enough and interacts with the magnetic cations with their 3d orbitals to form bound magnetic polarons leading to ferromagnetism. γ 3 δ p 4.3, where γ = ε(m/m*) ) δ p : polaron percolation threshold M. Coey et al, X p : cation percolation threshold, γ H : hydrogenic radius Nature Materials, 2005.

39 Theoretical Analysis Using Impurity Band Exchange Model Material ε m * /m γ γ H (nm) δ P (10-6 ) ZnO TiO SnO HfO Al 2 O δ p : Polaron percolation threshold X p : Cation percolation threshold γ Η : Hydrogenic orbital radius p and x p are two landmarks on magnetic phase diagram. Ferromagnetism occurs when δ > δ p and x < x p. δ p of HfO 2 based DMO is about Appearance of ferromagnetic insulator behavior in HfO 2 is more likely than ZnO, TiO 2 and SnO 2. Will try Y 2 O 3, ZrO 2, and Al 2 O 3 etc.

40 Major Research Topics Novel MBE template approach for ALD growth Enhancement of κ in the new phase through epitaxy Fundamental study by IETSfor detections ti of phonons and defects in high κ dielectrics Room temperature ferromagnetism in cluster free, Co doped HfO 2 films

41

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

Beyond CMOS. Ultimate CMOS: High k dielectrics on high carrier mobility semiconductors - accomplishments and challenges

Beyond CMOS. Ultimate CMOS: High k dielectrics on high carrier mobility semiconductors - accomplishments and challenges 2015 Nano-Phys NTHU Beyond CMOS Ultimate CMOS: High k dielectrics on high carrier mobility semiconductors - accomplishments and challenges M. Hong 洪銘輝 Graduate Institute of Applied Physics and Department

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

High Dielectric Constant (k) Materials

High Dielectric Constant (k) Materials Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate ide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n +

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k

+ V gate M O. Trend: As k, E g. Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials. Bandgap versus Dielectric Constant (k) k k Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate oxide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n

More information

R&D Issues for High-k Gate Dielectrics

R&D Issues for High-k Gate Dielectrics R&D Issues for High-k Gate Dielectrics T.P. Ma Yale University Spacer High-k Gate Dielectric Stack Source Drain Gate electrode, poly Upper interfacial region Bulk high-k film Lower interfacial region Substrate

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Harvesting Heat through Seebeck Spin Tunneling Effect

Harvesting Heat through Seebeck Spin Tunneling Effect Harvesting Heat through Seebeck Spin Tunneling Effect Costel Constantin James Madison University Science Enabled by Photon Source, May 2012 Outline 1. Spintronics vs. Spin Caloritronics. 2. Novel Spin

More information

Theory of Hydrogen-Related Levels in Semiconductors and Oxides

Theory of Hydrogen-Related Levels in Semiconductors and Oxides Theory of Hydrogen-Related Levels in Semiconductors and Oxides Chris G. Van de Walle Materials Department University of California, Santa Barbara Acknowledgments Computations J. Neugebauer (Max-Planck-Institut,

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Classification of Solids

Classification of Solids Classification of Solids Classification by conductivity, which is related to the band structure: (Filled bands are shown dark; D(E) = Density of states) Class Electron Density Density of States D(E) Examples

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University NAME: PUID: : ECE 305 Exam 5 SOLUTIONS: April 17, 2015 Mark Lundstrom Purdue University This is a closed book exam. You may use a calculator and the formula sheet at the end of this exam. Following the

More information

Challenges for Materials to Support Emerging Research Devices

Challenges for Materials to Support Emerging Research Devices Challenges for Materials to Support Emerging Research Devices C. Michael Garner*, James Hutchby +, George Bourianoff*, and Victor Zhirnov + *Intel Corporation Santa Clara, CA + Semiconductor Research Corporation

More information

What so special about LaAlO3/SrTiO3 interface? Magnetism, Superconductivity and their coexistence at the interface

What so special about LaAlO3/SrTiO3 interface? Magnetism, Superconductivity and their coexistence at the interface What so special about LaAlO3/SrTiO3 interface? Magnetism, Superconductivity and their coexistence at the interface Pramod Verma Indian Institute of Science, Bangalore 560012 July 24, 2014 Pramod Verma

More information

EXTRINSIC SEMICONDUCTOR

EXTRINSIC SEMICONDUCTOR EXTRINSIC SEMICONDUCTOR In an extrinsic semiconducting material, the charge carriers originate from impurity atoms added to the original material is called impurity [or] extrinsic semiconductor. This Semiconductor

More information

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS H. L. Gomes 1*, P. Stallinga 1, F. Dinelli 2, M. Murgia 2, F. Biscarini 2, D. M. de Leeuw 3 1 University of Algarve, Faculty of Sciences and Technology

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

Lecture 20: Semiconductor Structures Kittel Ch 17, p , extra material in the class notes

Lecture 20: Semiconductor Structures Kittel Ch 17, p , extra material in the class notes Lecture 20: Semiconductor Structures Kittel Ch 17, p 494-503, 507-511 + extra material in the class notes MOS Structure Layer Structure metal Oxide insulator Semiconductor Semiconductor Large-gap Semiconductor

More information

Spin injection. concept and technology

Spin injection. concept and technology Spin injection concept and technology Ron Jansen ャンセンロン Spintronics Research Center National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba, Japan Spin injection Transfer of spin

More information

Chapter 4: Bonding in Solids and Electronic Properties. Free electron theory

Chapter 4: Bonding in Solids and Electronic Properties. Free electron theory Chapter 4: Bonding in Solids and Electronic Properties Free electron theory Consider free electrons in a metal an electron gas. regards a metal as a box in which electrons are free to move. assumes nuclei

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Temperature dependence of spin diffusion length in silicon by Hanle-type spin. precession

Temperature dependence of spin diffusion length in silicon by Hanle-type spin. precession Temperature dependence of spin diffusion length in silicon by Hanle-type spin precession T. Sasaki 1,a), T. Oikawa 1, T. Suzuki 2, M. Shiraishi 3, Y. Suzuki 3, and K. Noguchi 1 SQ Research Center, TDK

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University NAME: PUID: SECTION: Circle one: Alam Lundstrom ECE 305 Exam 5 SOLUTIONS: April 18, 2016 M A Alam and MS Lundstrom Purdue University This is a closed book exam You may use a calculator and the formula

More information

High resolution ion beam analysis. Torgny Gustafsson

High resolution ion beam analysis. Torgny Gustafsson High resolution ion beam analysis Torgny Gustafsson Review articles and Books L. C. Feldman, J. W. Mayer and S. T. Picraux, Materials Analysis by Ion Channeling, Academic Press (1982) I. Stensgaard, Surface

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

in this web service Cambridge University Press

in this web service Cambridge University Press High-k Materials Mat. Res. Soc. Symp. Proc. Vol. 670 2001 Materials Research Society Materials and Physical Properties of Novel High-k and Medium-k Gate Dielectrics Ran Liu, Stefan Zollner, Peter Fejes,

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

CVD-3 SIO-HU SiO 2 Process

CVD-3 SIO-HU SiO 2 Process CVD-3 SIO-HU SiO 2 Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard SIO-HU Process N 2 O Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage Measurements

Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage Measurements Materials 01, 5, 1005-103; doi:10.3390/ma5061005 Review OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan Single ion implantation for nanoelectronics and the application to biological systems Iwao Ohdomari Waseda University Tokyo, Japan Contents 1.History of single ion implantation (SII) 2.Novel applications

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm 2 Name: SID: Closed book. Two sheets of notes are

More information

Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors

Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors 6 MOS capacitors were fabricated on silicon substrates. ALD deposited Aluminum Oxide was used as dielectric material. Various electrical

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

Recent Developments in Magnetoelectrics Vaijayanti Palkar

Recent Developments in Magnetoelectrics Vaijayanti Palkar Recent Developments in Magnetoelectrics Vaijayanti Palkar Department of Condensed Matter Physics & Materials Science Tata Institute of Fundamental Research Mumbai 400 005, India. Tata Institute of Fundamental

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

MODELING OF CV CHARACTERISTICS OF HIGH-k MIM CAPACITORS

MODELING OF CV CHARACTERISTICS OF HIGH-k MIM CAPACITORS Chapter 6 MODELING OF CV CHARACTERISTICS OF HIGH-k MIM CAPACITORS 6.1 Introduction and Motivation Capacitance-Voltage characteristics and voltage linearity of MIM capacitor are important performance parameters

More information

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Lecture 15 OUTLINE MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor Electrostatics t ti Charge vs. voltage characteristic Reading: Chapter 6.1 6.2.1 EE105 Fall 2007

More information

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides T. Ishihara*, J. Koga*, and S. Takagi** * Advanced LSI Technology Laboratory, Corporate Research &

More information

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA OVERVIEW OF THE LAST FIVE YEARS AND NEW RESULTS Sokrates T. Pantelides Department of Physics and Astronomy, Vanderbilt University, Nashville, TN The theory

More information

4.2 Molecular orbitals and atomic orbitals Consider a linear chain of four identical atoms representing a hypothetical molecule.

4.2 Molecular orbitals and atomic orbitals Consider a linear chain of four identical atoms representing a hypothetical molecule. 4. Molecular orbitals and atomic orbitals Consider a linear chain of four identical atoms representing a hypothetical molecule. Suppose that each atomic wavefunction is 1s wavefunction. This system of

More information

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 MOSFET Transport Issues semiconductor band structure quantum confinement effects low-field mobility and high-field saturation Reading: - M. Lundstrom,

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

Gate Carrier Injection and NC-Non- Volatile Memories

Gate Carrier Injection and NC-Non- Volatile Memories Gate Carrier Injection and NC-Non- Volatile Memories Jean-Pierre Leburton Department of Electrical and Computer Engineering and Beckman Institute University of Illinois at Urbana-Champaign Urbana, IL 61801,

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today MOS MOS. Capacitor. Idea

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today MOS MOS. Capacitor. Idea ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 9: September 26, 2011 MOS Model Today MOS Structure Basic Idea Semiconductor Physics Metals, insulators Silicon lattice

More information

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr 10.1149/05305.0203ecst The Electrochemical Society Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr Institute for

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Saroj P. Dash. Chalmers University of Technology. Göteborg, Sweden. Microtechnology and Nanoscience-MC2

Saroj P. Dash. Chalmers University of Technology. Göteborg, Sweden. Microtechnology and Nanoscience-MC2 Silicon Spintronics Saroj P. Dash Chalmers University of Technology Microtechnology and Nanoscience-MC2 Göteborg, Sweden Acknowledgement Nth Netherlands University of Technology Sweden Mr. A. Dankert Dr.

More information

Solid Surfaces, Interfaces and Thin Films

Solid Surfaces, Interfaces and Thin Films Hans Lüth Solid Surfaces, Interfaces and Thin Films Fifth Edition With 427 Figures.2e Springer Contents 1 Surface and Interface Physics: Its Definition and Importance... 1 Panel I: Ultrahigh Vacuum (UHV)

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

This is the author s final accepted version.

This is the author s final accepted version. Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson- Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process Standard MFSIN-HU-1 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals ENS 345 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Outline - Goals of the course. What is electronic device?

More information

Ferromagnetism and Anomalous Hall Effect in Graphene

Ferromagnetism and Anomalous Hall Effect in Graphene Ferromagnetism and Anomalous Hall Effect in Graphene Jing Shi Department of Physics & Astronomy, University of California, Riverside Graphene/YIG Introduction Outline Proximity induced ferromagnetism Quantized

More information

The effect of point defects in zircon

The effect of point defects in zircon aterials for nuclear waste immobilization: The effect of point defects in zircon iguel Pruneda Department of Earth Sciences University of Centre for Ceramic Immobilisation Radiation damage process α-decay

More information

Quantification of Trap State Densities at High-k/III-V Interfaces

Quantification of Trap State Densities at High-k/III-V Interfaces Quantification of Trap State Densities at High-k/III-V Interfaces Roman Engel-Herbert*, Yoontae Hwang, and Susanne Stemmer Materials Department, University of California, Santa Barbara *now at Penn State

More information

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES By Guoxing Duan Thesis Submitted to the Faculty of the Graduate school of Vanderbilt University in partial

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 213 Lectures 5 and 6: VCM cell Class Outline VCM = Valence Change Memory General features Forming SET and RESET Heating Switching models Scaling

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Structural and magnetic properties of Ni doped CeO 2 nanoparticles

Structural and magnetic properties of Ni doped CeO 2 nanoparticles *E-mail: shailuphy@gmail.com Abstract: We report room temperature ferromagnetism in Ni doped CeO 2 nanoparticles using X-ray diffraction (XRD), high resolution transmission electron microscopy (HR-TEM),

More information

Radiation Effects in Emerging Materials Overview Leonard C. Feldman

Radiation Effects in Emerging Materials Overview Leonard C. Feldman May, 2010 Radia%on Effects on Emerging Electronic Materials and Devices Radiation Effects in Emerging Materials Overview Leonard C. Feldman Vanderbilt University And Rutgers University Ionizing radia%on

More information

GaN based transistors

GaN based transistors GaN based transistors S FP FP dielectric G SiO 2 Al x Ga 1-x N barrier i-gan Buffer i-sic D Transistors "The Transistor was probably the most important invention of the 20th Century The American Institute

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Chapter 1 Electronic and Photonic Materials - DMS. Diluted Magnetic Semiconductor (DMS)

Chapter 1 Electronic and Photonic Materials - DMS. Diluted Magnetic Semiconductor (DMS) Diluted Magnetic Semiconductor (DMS) 1 Properties of electron Useful! Charge Electron Spin? Mass 2 Schematic of a Spinning & Revolving Particle Spinning Revolution 3 Introduction Electronics Industry Uses

More information

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process Standard MFSIN-HU-2 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation.

Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation. Optics of Surfaces & Interfaces - VIII September 10 th, 2009 Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation. Jimmy Price and Michael C. Downer Physics

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

6.012 Electronic Devices and Circuits Spring 2005

6.012 Electronic Devices and Circuits Spring 2005 6.012 Electronic Devices and Circuits Spring 2005 May 16, 2005 Final Exam (200 points) -OPEN BOOK- Problem NAME RECITATION TIME 1 2 3 4 5 Total General guidelines (please read carefully before starting):

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information