Next Time on Parametric Yield. What Drives Worst Case Analysis?

Size: px
Start display at page:

Download "Next Time on Parametric Yield. What Drives Worst Case Analysis?"

Transcription

1 Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What Drives Worst Case Analysis? Basically, optimize an inverter design then, since most designs are just combinations of inverter-like gates it follows that the entire design would be OK even at the extreme points of process variation! p n Process domain: Vt Vt n n,, L L n n,, W n n,, kp kp n n,, Vt Vt p p,, L L p p,, W p p,, kp kp pp Tox, T, T, Vdd Performance domain: τ, τ, P Yield Body: P < x µw, t t < y nsec. 2

2 Simple Digital Worst Case I dsat sets power and speed in digital logic, so the extremes of I dsn and I dsp set the performance spread. Method Identify typical, fast and slow N, P. Extract the respective process parameters. Name the cases TT, SS, FF, SF, FS, respectively. Make sure performance meets specs at extremes. 3 Parametric Transistor Measurements 4

3 Simple Digital Worst Case (cont) Ids(p) SF FF SS FS Ids(n) Problems It implies that the yield body is convex. The Box might be unnecessarily big (over-design). Idsatextremes do not always map to performance extremes. Local variability is ignored (under-design). 5 Table Driven Digital Worst Case N and P device behavior is highly correlated! Process Ids(n) Ids(p) Related? Cox DW DL LatDiff msurface Yes Yes Yes no no Method Use table to relate process variability to Idsat deviations. Identify extremes through measurements. Extract the process parameters for each. Call them TT, SS, FF, SF, FS, respectively. Make sure performance goals are met by all. 6

4 Table Driven Worst Case (cont.) Ids(p) SF FF SS FS Problems It still implies that the Yield Body is convex. Idsat extremes do not always map to the actual performance spread. Local variability is ignored (under-design). Ids(n) 7 Working with Complete Parameter Sets Do not just focus on Idsat. Assume that all process parameters are varying. Independence cannot be assumed - use Principal Component Analysis (PCA) to transform the Problem. Method Extract process parameters from a population of devices. Find the correlation matrix of the process parameters. Apply PCA to transform to an independent parameter space. Use Constrained optimization to find the performance extremes (space is nicely convex). 8

5 Complete Parameter Sets (cont.) Problems Multiple extractions of correlated parameters. Performances analyzed at device - not circuit level. Local variability is ignored. 9 Working with Reduced Parameter Sets Assume that only few process parameters (V fb, T ox, L, W) and operational parameters (V dd, T) are varying independently. (No PCA necessary!) Use circuit simulation to define extremes. Method Develop special statistical device model. Perform n+1 circuit simulations at extremes. Establish linear approximation of yield body. Integrate Yield numerically. (Find Yield gradient, optimize yield) (Non-linear modeling and complex experimental designs also possible) 10

6 Reduced Parameter Sets (cont.) Problems Special device modeling considerations. Linear approximations good for high yield only. Local variability is ignored (no analog designs!) 11 Modern Worst Case Files... We now fully realize that the device level worst case files are just an intermediate abstraction to capture the process variability. We also know that process parameters are highly correlated. So, state-of-the art techniques attempt to approximate the ellipse that typically describes the distribution of device parameters. 12

7 Modern Worst Case files 13 Carefully designed arrays of simulations can reproduce statistics of process 14

8 Time out! What do all these Techniques need? Process Electrical Accurate process characterization! Deep understanding of how variability propagates from one level of abstraction to the next! Circuit Performance 15 An Example of Using TCAD in Process Characterization Accurate Statistical Process Variation Analysis for m CMOS with Advanced TCAD Methodology, Hisako Sato, Hisaaki Kunitomo, Katsumi Tsuneno, Kazutaka Mori, and Hiroo Masuda, Senior Member, IEEE, IEE TSM, Vol 11, No 4, November

9 The basic Macromodeling Idea 1. tune process/device simulator for good agreement with process. 2. Run the tuned simulator over a designed experiment. 3. Fit polynomial (macromodel) to simulator results. 4. Replace costly simulator with inexpensive (but rather local ) macromodel. 17 Good Statistical Match Can be Achieved... 18

10 How about Statistical Process Simulation? Low level, physical process parameters can be used as statistically independent disturbances. Method Identify process disturbances. Infer multi-level statistics of process disturbances. Use fats process and device simulation to generate device parameters. Global and local variations can be represented. 19 Statistical Process Simulation Compact Process / Device Models. Typically 1-D or compact 2-D models. The concept of process disturbances. Low level process parameters that are, almost by definition, independent from each other. 20

11 The Role of Statistical Process Simulation Diffusivity Oxide Growth Coef. Problems Process Characterization is difficult Modeling accuracy is an issue Monte Carlo simulation is still expensive Leff Tox 21 Possible to Model Statistics of Device Performance 22

12 For complex Analog Circuits... Matching matters. It is impossible to consider matching of individual devices It is practical to group devices, and consider matching across groups. 23 Why do we really loose Yield? Catastrophic defects due to contamination. One time departures from Statistical Control. 24

13 Problems with earlier Techniques Earlier Techniques assume: The entire fab line is under SPC. Critical steps can be characterized via measurements. VLSI Technologies, however, are often too short-lived and too complex to achieve SPC status! 25 A Binning Prediction Problem Create a model that relates yield to easy-to-measure, in-line and electrical parameters. Base model on process physics - so that is is valid even when the process is out of SPC. 26

14 The Binning Prediction (cont.) Use this model in conjunction with measurements to predict functional yield (speed binning) early on. This will give early feedback about the line and the product in it. Some of the costly performance testing might be avoided. Performance Prediction Model Binning Step Step Step P. P. Test 27 The Generic Process Model 28

15 The Specific IC Product Model 29 Simulated Statistical Distribution of Performances Energy vs. Read 0 Access time Read 0 vs. Read 1 Access Time 30

16 Yield Body Representation Using a linear model, each side of the yield body is a hyperplane of the form: Performance = A 0 +A 1 (N ld ) + A 2 (N tox )+... The adequacy of the model can be examined by plotting the distance of good and bad parts from the hyperplanes: d p =AX p Projecting constraints into two dimensional planes gives insight into how to improve the model. 31 Linear Approximation of the Yield Body 32

17 Test Patterns We need to characterize both interconnect and active areas of the chip. Test patterns include: Transistor arrays (three sizes of each type). Capacitors for three typical oxide thicknesses. Polysilicon structures for word line resistance. 33 In-Line and Electrical Measurements In order to use this model as a yield predictor, we have to feed to it easy to collect measurements. Standard test patterns are in use to collect Tox, Vt, kp, L, W, doping levels, poly resistivities. 34

18 Overall Binning Prediction Methodology 35 36

19 Issues in IC Manufacturability Importance and Causes of Yield Loss A Formulation of the DFM Problem Circuit Design for Manufacturability Yield Modeling Methods CIM and DFM 37 Projected Parametric Variation in Future Nodes 38

20 Projected Parametric Variation in Future Nodes Test Circuits used to facilitate Analysis Logic Interconnect 39 Projected Parametric Variation in Future Nodes % Sigma / Mean Performance Variation Trend - 8 Bit Mirror Adder % Variation caused by vth Delay and Energy variation caused by vth0 variation - 8 Bit Mirror Adder Technology Node (nm) Linear (% Sigma / Mean (Delay)) Linear (% Sigma / Mean (Energy)) Technology Node (nm) Linear (% Delay variation caused by vth0 variation ) Linear (% Energy variation caused by vth0 variation) % Variation caused by lint Delay and Energy variation caused by lint variation - 8 Bit Mirror Adder Technology Node (nm) Linear (% Delay variation caused by lint variation ) Linear (% Energy variation caused by lint variation ) 40

21 Projected Parametric Variation in Future Nodes Performance Variation Trend- Interconnect 140 Delay and Energy variation caused by width variation % Sigma / Mean Technology Node (nm) Linear (% Sigma / Mean (Delay)) Linear (% Sigma / Mean (Energy)) % Performance variation Technology (nm) Linear (% Delay variation induced by width variation) Linear (% Energy variation caused by width variation) 80 Delay and Energy variation caused by spacing variation Delay and Energy variation caused by thickness variation 80 % Performance variation % Performance variation Technology Node (nm) Technology Node (nm) Linear (% Delay variation caused by spacing variation) Linear (% Energy variation caused by spacing variation) Linear (% Delay variation caused by thickness variation) Linear (% Energy variation caused by thickness variation) 41 Projected Parametric Variation in Future Nodes % Performance variation Delay and Energy Variation caused by height variation Technology Node (nm) Linear (% Delay variation caused by height variation) Linear (% Energy variation caused by height variation) % Performance variation Delay and Energy variation caused by dielectric variation Technology Node (nm) Linear (% Delay variation caused by dielectric variation) Linear (% Energy variation caused by dielectric variation) % Performance variation Delay and Energy variation caused by interconnect variation Technology Node (nm) Linear (% Delay variation caused by interconnect variation) Linear (% Energy variation caused by interconnect variation) % Performance variation Delay and Energy variation caused by device variation Technology Node (nm) Linear (% Delay variation caused by device variation) Linear (% Energy variation caused by device variation) 42

22 wafer fab Let s Summarize... in-line tests real-time measurements Equipment Utilization step 1 step 2 step n e-test back-end wafer yield functional test die yield (functional) packaging binning/ parametric test field installation field data die yield (parametric) 43 IC production suffers from routine and assignable variability. Human errors, equipment failures Processing instabilities Material non-uniformities Substrate inhomogeneites Lithography spots Variability causes deformations Geometrical Electrical Lateral Global Vertical Local Spot defects Deformations have deterministic and random components, are global and/or local, can be independent or can interact. 44

23 Performance vs Yield 45 How does reduced variability help? 600k APC investment, recovered in two days... 46

24 Design Yield Prediction First we define functional (timing and other functionality constraints) and parametric (speed, power etc.) measures: Q F = {q F 1,q F 2,..., q F nf } T Q P = {q P 1,q P 2,..., q P np } T Then we define the space that contains both types of measures: F P S Q = S Q S Q The set of acceptable IC performances is given as: A Q = {Q S Q each q j acceptable j=1,2,..., n Q } n Q = n F + n P 47 Design Yield Prediction (cont.) All performances are determined from the state variables of the process (geometric and electrical parameters after wafer fabrication): A W = {X w S W Q (X w ) A Q } This is the acceptability region defined in the state variable space. The Yield is defined as: Y = g(x W ) f W (x W )dx W 1, x W A W f W (x W ) is the jpdf of X W and g(x W ) = { 0, otherwise Y = A W f W (x W )dx W 48

25 Design Yield Prediction (cont.) Let us now assume that Xwreally depends on the parameter sets defined as C (Controls), L (Layout), and D (disturbances). Given fixed values for C and L, then: Y = f D (δ)dδ A D (C 0,L 0 ) Let us further assume that C, L, D are separable (i.e. some affect performance and some functionality, but none affects both). Then: Y ' FUN = f D '(δ')dδ' A F D (C 0,L 0 ) and Y' PAR = f D ''(δ'')dδ'' A P D (C 0,L 0 ) 49 Manufacturing Yield vs. Design Yield Y M = N F N = N W N N F N W N P N F = Y W Y PT Y FT Because of test coverage limitations: Y M Y Because of imperfect separation: Y Y PAR ' Y FUN ' Finally, since we do not probe the actual circuit, we have: Y PRO Y PAR 50

26 Yield and Production Cost The objective is not to maximize yield but to minimize cost. Wafer Cost: C 1 = (N - N W )(c P *+ c W ) Probe Cost: C 2 = (N W - N P )(c PT + c P + c W ) Final Test Cost: C 3 = N P (c FT + c A + c PT +c P + c W ) Total Cost per chip sold: C = C 1 + C 2 + C 3 C N F = N P N F (c FT + c A + c PT +c P + c W ) + N W N F (1 - N P N W )(c PT + c P + c W ) + N N F (1 - N W N F )(c P *+ c W ) 51 Yield and Production Cost (cont) Finally, total cost per chip sold: C = (c FT + c A + c PT +c P + c W ) + Y P(1 - Y FT )(c FT + c A + c PT +c P + c W ) + N F Y M Y W (1 - Y PT )(c PT + c P + c W ) + 1 (1- Y W )(c P *+ c W ) Y M Y M This means that the yield maximization problem and the cost minimization problem are not equivalent! VLSI Design for Manufacturing: Yield Enhancement Director, Maly and Strojwas Kluwer Academic Publishers

27 In Conclusion Yield is good and Variability is bad. metrology statistical process control run-to-run and real-time control Must manipulate process steps to accommodate circuits and designs. modeling design of experiments Must keep cost of manufacturing low. Automation of product flow Automation of information management 53 Calculating IC Cost vs Defect Density An example... 54

28 The 1997 Roadmap (see transistor cost) Year Feature nm nm Area mm Density cm cm M 6.2M 10M 18M 39M 84M 180M Cost mc/tr technology ? 157? wafer size Function/milicent Overall Production Efficiency up by ~20X (!) from 1997 to Function/milicent 55 Negative Binomial (a widely accepted yield model) If f(d) follows a Gamma distribution, then: Y = 1 + A D α And if clustering becomes an issue, then: - α (α ~ 0.3-3) Y = Y o 1 + A D α - α where Yo is the gross cluster yield. 56

29 Typical Defect Size Distribution This means that defect density increases to to about 1/square~1/cube of of line width! 57 Line Yield, Memory Historical data data on on what what percentage of of WAFERS makes makes it it to to the the end. end. We We can can assume that that this this Yield Yield component will will be be a non-issue in in the the future... 58

30 Line Yield, CMOS Logic...even though CMOS does not have perfect wafer yield yet! 59 Memory Defect Density, µm A is is the the area. area. D is is the the defect defect density density (goes (goes up up to to 1/cube~1/square of of line line width width for for a given given clean clean room room class). class). Numbers Numbers here here are are for for class class See See next next slides slides for for data data points points from from older older technologies... Y = [ (1-e -AD )/AD ] 2 60

31 Logic Defect Density, µm CMOS Y = [ (1-e -AD )/AD ] 2 61 Logic Defect Density, µm CMOS Y = [ (1-e -AD )/AD ] 2 62

32 Bringing the Puzzle Pieces together... Year D CD Area GD/W Killer Def Wafer Size Density Yield Cent/Die 10^-6c/Tr Note how clean we must be! Note trade-offs between wafer size and defects! 20x improvement will not be easy Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing. 5. Analysis of Variance. 6. Two-level factorials and Fractional factorial Experiments. 7. System Identification. 8. Parameter Estimation. 9. Statistical Process Control. Distribution of projects. (week 9) 10. Run-to-run control. 11. Real-time control. Quiz on Yield, Modeling and Control (week 12) 12. Off-line metrology -CD-SEM, Ellipsometry, Scatterometry 13. In-situ metrology - temperature, reflectometry, spectroscopy 14. The Computer-Integrated Manufacturing Infrastructure 15. Presentations of project results. IC Yield & Performance Process Modeling Process Control Metrology Manufacturing Enterprise 64

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis EEC 118 Lecture #16: Manufacturability Rajeevan Amirtharajah University of California, Davis Outline Finish interconnect discussion Manufacturability: Rabaey G, H (Kang & Leblebici, 14) Amirtharajah, EEC

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

EE290H. Special Issues in Semiconductor Manufacturing

EE290H. Special Issues in Semiconductor Manufacturing EE290H Special Issues in Semiconductor Manufacturing Costas J. Spanos Department of Electrical Engineering and Computer Sciences el (510) 643 6776, fax (510) 642 2739 email spanos@eecs.berkeley.edu Kameshwar

More information

EE290H. Special Issues in Semiconductor Manufacturing

EE290H. Special Issues in Semiconductor Manufacturing EE290H Special Issues in Semiconductor Manufacturing Costas J. Spanos Department of Electrical Engineering and Computer Sciences el (510) 643 6776, fax (510) 642 2739 email spanos@eecs.berkeley.edu Kameshwar

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow EE 330 Lecture 16 MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow Review from Last Time Operation Regions by Applications Id I D 300 250 200 150

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

EE 330 Lecture 17. MOSFET Modeling CMOS Process Flow

EE 330 Lecture 17. MOSFET Modeling CMOS Process Flow EE 330 Lecture 17 MOSFET Modeling CMOS Process Flow Review from Last Lecture Limitations of Existing Models V DD V OUT V OUT V DD?? V IN V OUT V IN V IN V DD Switch-Level Models V DD Simple square-law

More information

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view) ENGR89 Digital VLSI Design Fall 5 Lecture 4: CMOS Inverter (static view) [Adapted from Chapter 5 of Digital Integrated Circuits, 3, J. Rabaey et al.] [Also borrowed from Vijay Narayanan and Mary Jane Irwin]

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 17, 2017 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

EE5311- Digital IC Design

EE5311- Digital IC Design EE5311- Digital IC Design Module 3 - The Inverter Janakiraman V Assistant Professor Department of Electrical Engineering Indian Institute of Technology Madras Chennai September 3, 2018 Janakiraman, IITM

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay s CSE477 slides

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 19, 2016 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow EE 330 Lecture 16 MOSFET Modeling CMOS Process Flow Model Extensions 300 Id 250 200 150 100 50 300 0 0 1 2 3 4 5 Vds Existing Model 250 200 Id 150 100 50 Slope is not 0 0 0 1 2 3 4 Actual Device Vds Model

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

EECS 141: FALL 05 MIDTERM 1

EECS 141: FALL 05 MIDTERM 1 University of California College of Engineering Department of Electrical Engineering and Computer Sciences D. Markovic TuTh 11-1:3 Thursday, October 6, 6:3-8:pm EECS 141: FALL 5 MIDTERM 1 NAME Last SOLUTION

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

EE115C Digital Electronic Circuits Homework #6

EE115C Digital Electronic Circuits Homework #6 Problem 1 Sizing of adder blocks Electrical Engineering Department Spring 2010 EE115C Digital Electronic Circuits Homework #6 Solution Figure 1: Mirror adder. Study the mirror adder cell (textbook, pages

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology EECS240 Spring 2013 Lecture 2: CMOS Technology and Passive Devices Lingkai Kong EECS Today s Lecture EE240 CMOS Technology Passive devices Motivation Resistors Capacitors (Inductors) Next time: MOS transistor

More information

VLSI Design The MOS Transistor

VLSI Design The MOS Transistor VLSI Design The MOS Transistor Frank Sill Torres Universidade Federal de Minas Gerais (UFMG), Brazil VLSI Design: CMOS Technology 1 Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V

More information

Random Offset in CMOS IC Design

Random Offset in CMOS IC Design Random Offset in CMOS C esign ECEN487/587 Analog C esign October 19, 007 Art Zirger, National Semiconductor art.zirger@nsc.com 303-845-404 Where to start? How do we choose what transistor sizes to use

More information

ECEN 474/704 Lab 2: Layout Design

ECEN 474/704 Lab 2: Layout Design ECEN 474/704 Lab 2: Layout esign Objectives Learn Techniques for successful integrated circuit layout design. Introduction In this lab you will learn in detail how to generate a simple transistor layout.

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms.

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1 RULES: Please try to work on your own. Discussion is permissible, but identical submissions are unacceptable! Please show all intermediate steps: a correct solution without an explanation will get zero

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

Exam 2 Fall How does the total propagation delay (T HL +T LH ) for an inverter sized for equal

Exam 2 Fall How does the total propagation delay (T HL +T LH ) for an inverter sized for equal EE 434 Exam 2 Fall 2006 Name Instructions. Students may bring 2 pages of notes to this exam. There are 10 questions and 5 problems. The questions are worth 2 points each and the problems are all worth

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays Chapter 9 Estimating circuit speed 9.1 Counting gate delays The simplest method for estimating the speed of a VLSI circuit is to count the number of VLSI logic gates that the input signals must propagate

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 12 VLSI II 2005-2-24 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last Time: Device

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 12 The CMOS Inverter: static behavior guntzel@inf.ufsc.br

More information

CMOS Cross Section. EECS240 Spring Dimensions. Today s Lecture. Why Talk About Passives? EE240 Process

CMOS Cross Section. EECS240 Spring Dimensions. Today s Lecture. Why Talk About Passives? EE240 Process EECS240 Spring 202 CMOS Cross Section Metal p - substrate p + diffusion Lecture 2: CMOS Technology and Passive Devices Poly n - well n + diffusion Elad Alon Dept. of EECS EECS240 Lecture 2 4 Today s Lecture

More information

Different Strategies for Statistical Compact Modeling MOS-AK Dresden

Different Strategies for Statistical Compact Modeling MOS-AK Dresden Different Strategies for Statistical Compact Modeling MOS-AK Dresden 18.3. Dr. Marat Yakupov (MunEDA) Dr. Michael Pronath (MunEDA) Dr. Elmar Gondro (Infineon Technologies) Overview Process Manufacturing

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Practice 3: Semiconductors

Practice 3: Semiconductors Practice 3: Semiconductors Digital Electronic Circuits Semester A 2012 VLSI Fabrication Process VLSI Very Large Scale Integration The ability to fabricate many devices on a single substrate within a given

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Statistical Modeling for Circuit Simulation

Statistical Modeling for Circuit Simulation Statistical Modeling for Circuit Simulation Colin C. McAndrew Motorola, Tempe, AZ, USA Colin.McAndrew@motorola.com Abstract Robust, high yield IC design requires statistical simulation, and therefore statistical

More information

5. CMOS Gate Characteristics CS755

5. CMOS Gate Characteristics CS755 5. CMOS Gate Characteristics Last module: CMOS Transistor theory This module: DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Transistor ehavior 1) If the width of a transistor

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) SYLLABUS UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2 m CMOS Design

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

EE382M-14 CMOS Analog Integrated Circuit Design

EE382M-14 CMOS Analog Integrated Circuit Design EE382M-14 CMOS Analog Integrated Circuit Design Lecture 3, MOS Capacitances, Passive Components, and Layout of Analog Integrated Circuits MOS Capacitances Type of MOS transistor capacitors Depletion capacitance

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B Using MOS Models C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 5.4 W&H 4.2 Background In the past two lectures we have reviewed the iv and CV curves for MOS devices, both

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

2. (2pts) What is the major difference between an epitaxial layer and a polysilicon layer?

2. (2pts) What is the major difference between an epitaxial layer and a polysilicon layer? EE 330 Exam 1 Spring 2017 Name Instructions: Students may bring 1 page of notes (front and back) to this exam and a calculator but the use of any device that has wireless communication capability is prohibited.

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information