There s Plenty of Room at the Bottom and at the Top

Size: px
Start display at page:

Download "There s Plenty of Room at the Bottom and at the Top"

Transcription

1 14 nm chip X SEM from bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley August 16, 2016 SFBA Nano Seminar

2 Outline Introduction Transistor scaling limit Extending the Era of Moore s Law Beyond Moore: Mechanical Computing Redux Summary 2

3 MOSFET Operation: Gate Control Metal Oxide Semiconductor (MOS) Field Effect Transistor (FET) Gate length, L G Current flowing between Source & Drain is controlled by the Gate voltage. Desired characteristics: High ON current Low OFF current n(e) exp ( E/kT) Electron Energy Band Profile log I D I ON increasing E Source Inverse slope is subthreshold swing, S increasing I OFF [mv/dec] V GS Drain 0 GATE VOLTAGE V TH distance V DD 3

4 CMOS Circuits S CIRCUIT SYMBOLS N-channel MOSFET G D P-channel MOSFET S G D CMOS NAND GATE CMOS INVERTER CIRCUIT V DD V IN GND S ON D D OFF S V OUT V DD V OUT 0 V DD INVERTER LOGIC SYMBOL V IN STATIC MEMORY (SRAM) CELL NOT AND (NAND) TRUTH TABLE WORD LINE BIT LINE 0 1 or or 1 0 BIT LINE 4

5 Improving I ON /I OFF Gate log I D I ON C ox C dep S C C total ox Source Body Drain The greater the capacitive coupling between Gate and channel, the better control the Gate has over the channel potential. higher I ON /I OFF for fixed V DD, or lower V DD to achieve target I ON /I OFF reduced short channel effect and drain induced barrier lowering: log I D V DD V GS Source decreasing L G, or increasing V DS Drain decreasing L G or increasing V DS I OFF V GS 5

6 FinFET/Tri Gate Transistor H fin L G W fin GATE Source Gate 10 nm SOURCE Drain D. Hisamoto et al. (UC Berkeley), IEDM nm L g FinFET 20 nm DRAIN log I D V DD I ON V GS Superior gate control higher I ON /I OFF or lower V DD Multiple fins can be connected in parallel to achieve higher drive current. Y. K. Choi et al., (UC Berkeley) IEDM 2001 Intel Corp., May

7 3 D Transistor Technology Roadmap Year: Intel Technology Node 22 nm 14 nm 10 nm Year: 2015 Foundry Technology Node: 14 nm 10 nm 7 nm Gate length, L G 25 nm 20 nm 15 nm Fin width, W fin ~10 nm ~8 nm ~6 nm Equivalent oxide thickness 0.9 nm 0.85 nm 0.8 nm X SEM Images Gate Gate Si SiO 2 SiO 2 C. Auth et al. (Intel Corp.) VLSI Symp Si SiO 2 Si S. Natarajan et al. (Intel Corp.) IEDM

8 MOSFET Evolution 32 nm planar FinFET: 22 nm thin body beyond 7 nm stacked nanowires? P. Packan et al. (Intel), IEDM 2009 FD SOI: Intel Corp. K. Cheng et al. (IBM), VLSI Symp C. Dupré et al. (CEA LETI) IEDM 2008 Stacked gate all around (GAA) FETs achieve the highest layout efficiency. 8

9 Channel Length Scaling Limit Quantum mechanical tunneling sets a fundamental scaling limit for the channel length (L C ). If electrons can easily tunnel through the source potential barrier, the gate cannot shut off the transistor. nmosfet Energy Band Diagram (OFF state) SOURCE DRAIN E C J. Wang et al., IEDM Technical Digest, pp , 2002 E C 9

10 Ultimately Scaled MOSFETs M. Luisier et al., IEDM 2011 L G = 5 nm 10

11 Outline Introduction Extending the Era of Moore s Law Beyond Moore: Mechanical Computing Redux Summary 11

12 Multiple patterning techniques have extended Moore s Law beyond the lithographic resolution limit at increasing cost Normalized Cost and Steps Single Exposure Cost Steps LELE Single Spacer Samsung, EUVL Symposium 2009 ASML, SPIE Advanced Lithography 2012 Double Spacer The sheer cost and complexity of this lithographic solution could dissuade chipmakers from jumping to future nodes, thereby stunting the growth rates of the IC industry. Semiconductor Engineering, April 17 th, /25/2016 Sang Wan Kim 12 12

13 Tilted ion implantation (TII) Approach A sub lithographic damage region can be achieved by tilted ion implantation (TII) + photoresist/hard mask self aligned to pre existing mask features on surface 13

14 Impact of TII on SiO 2 Etch Rate S. W. Kim et al. (UC Berkeley), SPIE Advanced Lithography 2016 Ar + implant conditions: 15 tilt; 1.5 kev; dose = 0, 2 or 3 x /cm Etch rate [Å/sec] 1.5 3E14 2E14 ~6X ~9X no implant SiO 2 Depth [Å] 3E14 2E Damage [x10 22 /cm 3 ] Symbols & solid lines: etch rate in 200:1 DHF Dotted lines: damage profile (SRIM) 14

15 Double Patterning by TII θ Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask Si y First implant: positive tilt angle x W trench y(tan(θ) cot(α)) α α SiO 2 x x Silicon W trench 15

16 Double Patterning by TII Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask First implant: positive tilt angle x W trench y(tan(θ) cot(α)) Second implant: negative tilt angle x W trench y(tan(θ) cot(α)) SiO 2 16

17 Double Patterning by TII Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask Si y First implant: positive tilt angle x W trench y(tan(θ) cot(α)) α Second implant: negative tilt angle x W trench y(tan(θ) cot(α)) SiO 2 x W fin x x Silicon W fin x Selective removal of damaged SiO 2 Si substrate dry etch W fin 2y(tan(θ) cot(α)) W trench 17

18 Proof of Concept: Single Implant S. W. Kim et al. (UC Berkeley), SPIE Advanced Lithography 2016 Cross sectional Scanning Electron Micrographs Ar-ion implantation with θ = etched a-si remaining a-si Si substrate Si substrate Sub lithographic features (~45 nm) achieved by 15 tilt, 3.0 kev Ar + implant into 10 nm thick SiO 2 hard mask dilute HF etch Si dry etch 18

19 Self Aligned Nature of TII Patterning P. Zheng et al. (UC Berkeley), to be published The TII defined edge closely tracks the HM edge = a Si hard mask = un etched c Si = etched c Si 19

20 Line Edge Roughess Comparison P. Zheng et al. (UC Berkeley), to be published 36 samples, 2.74 µm long TII improves low and mid frequency line edge roughness 20

21 Double Tilted Implant Results S. W. Kim et al. (UC Berkeley), SPIE Advanced Lithography 2016 Cross-sectional SEM Plan-view SEM 1 15 LTO 3 a-si 2 Si substrate Local pitch halving achieved with ±15 tilt, 3.0 kev Ar + implants ~21 nm half pitch of the etched Si features 21

22 Double Patterning Approaches Spacer lithography (SADP) Tilted Ion Implantation (TII) Hard mask (CVD) SiO 2 (Oxidation) Silicon SiO 2 (Oxidation) Silicon SiO 2 (Oxidation) Silicon Silicon Silicon 22

23 Cost Comparison P. Zheng et al. (UC Berkeley), to be published Self-aligned Double Patterning TII Double Patterning Process Steps Cost Process Steps Cost Process Description (a.u./wafer) Process Description (a.u./wafer) PECVD Etch stop layer 1.5 LPCVD Mask layer 2 CVD Mandrel layer 2 CVD Mandrel layer 2 Photolithography Patterning 30 Photolithography Patterning 30 Dry etch Mandrel etch 10 Dry etch Mandrel etch 10 ALD Spacer deposition 3 Ion implantation Double implants 1.7 Spacer etch Wet etch Selective mask etch 1 Dry etch 16 Mandrel pull Mandrel removal Dry etch 16 Wet clean Clean 1 Pattern transfer Dry etch Pattern transfer Wet etch Mask removal 1 16 Pattern transfer Wet etch Spacer removal 1 Wet etch Etch stopper strip 1 Total: 81.5 Total: 63.7 If photoresist is used as the mandrel layer, the cost of TII double patterning can be only ~50% of the cost of SADP. 23

24 Outline Introduction Extending the Era of Moore s Law Beyond Moore: Mechanical Computing Redux Summary 24

25 Impact of Moore s Law Investment Transistor Scaling Lower Cost/Component Higher Performance Market Growth Mobile Internet Internet of Things (IoT) # DEVICES Mainframe Minicomputer Desktop Internet PC Source: Morgan Stanley Research YEAR 25

26 A Vision of the Future Ultra low power chips required! The Cloud (millions) Mobile devices (billions) The Swarm (trillions) Source: J. Rabaey, ASPDAC

27 Micro Electro Mechanical Switch Zero off state leakage Zero passive energy consumption Abrupt switching behavior Low V DD (low active energy) Three Terminal Switch OFF State: Measured I V Characteristic V min Source ON State: Gate F spring Drain F elec F adhesion 27

28 Surface Micromachining Process Cross sectional View structural film sacrificial layer Si wafer substrate Mechanical structures can be made using conventional microfabrication techniques Structures are freed by selective removal of sacrificial layer(s) 28

29 IC Technology Advancement D. C. Edelstein, 214th ECS Meeting, Abstract #2073, 2008 Intel s 14nm CMOS technology Time Advanced back end of line (BEOL) processes have air gapped interconnects can be adapted for fabrication of compact NEMS! S. Natarajan et al. (Intel), IEDM

30 BEOL NEM Switch N. Xu et al. (UC Berkeley), 2014 IEEE International Electron Devices Meeting D1 M5 D0 V DD V DD V DD GND M4 GND M3 GND M2 INPUT courtesy of Dr. Kimihiko Kato (UC Berkeley) A relay can be implemented using multiple metal layers Vias can be used for electrical connection and as torsional elements for lower k eff Actuation electrodes on opposite sides of movable electrode structure 2 stable states (contacting D0 or D1) Low voltage (<1 V) operation can be achieved with small footprint (< 0.1 m 2 ). 30

31 Non Volatile Device Comparison N. Xu et al. (UC Berkeley), 2014 IEEE International Electron Devices Meeting A bi stable NEM switch is projected to operate with much less energy and delay than other non volatile switching devices can be used to continually shadow the information stored in an SRAM cell 31

32 In Memory Computing K. Kato et al., IEEE Electron Device Letters, Vol. 37, pp , 2016 NV NEMory cell array for memory based super parallel data searching Data Data Data Data4 Not programmed DL0 BL 1 BL 2 BL 3 BL 4 WL 1 DL1 WL 2 DL0 WL 3 DL1 WL 4 DL0 A A A A 32

33 Non Volatile NEMory Cell Structure K. Kato et al., IEEE Electron Device Letters, Vol. 37, pp , 2016 DL0 Beam 8F 2 F F AL0 DL1 DL1 / AL1 F F AL1 BL F F DL0 / AL0 Deformation direction BL Diffusion WL Float DL DL 0 1 Deformed beam AL AL 0 1 GND V prog Metal: Al (Young s modulus: 70 GPa) Gap: Air Year Half pitch, F (nm)

34 Data Search Step 1: Match 0 Reference Data: 1100 K. Kato et al., IEEE Electron Device Letters, Vol. 37, pp , 2016 Data Data Data Data4 Not programed DL 0 BL 1 BL 2 BL 3 BL 4 DL 1 DL 0 DL 1 DL 0 BL 1 BL 2 BL 3 BL 4 a) Activate DL1 lines b)access rows for 0 bits in reference c) Zero BL current Matched 0s A A A A 34

35 Data Search Step 2: Match 1 Reference Data: 1100 K. Kato et al., IEEE Electron Device Letters, Vol. 37, pp , 2016 Data Data Data Data4 Not programed DL 0 BL 1 BL 2 BL 3 BL 4 DL 1 DL 0 DL 1 DL 0 WL 1 WL 2 WL 3 WL 4 a) Activate DL0 lines b)access rows for 1 bits in reference c) Zero BL current Matched 1s A A A A 35

36 Energy and Delay for Data Search K. Kato et al., IEEE Electron Device Letters, Vol. 37, pp , 2016 Cells involved: NV NEMory Array 1 column 1 row Energy 1 column 256 rows 256 columns 256 rows Delay Program (V prog = 2.5 V) 15 fj 2.0 pj N/A < 10 ns Match 0 or Match 1 N/A N/A 1.2 pj < 0.2 ns The location of a data string can be found in <0.5 ns with less than 2.5 pj. For a die size of 42 mm 2 (same as DDR4 DRAM) at F = 20 nm and cell density of 65% (similar to DRAM), a NV NEMory chip would have the capacity 8 Gb and would consume only 300 nj to find a match on the whole chip. In comparison, it would take CPU+DRAM ~90 mj, 80 ms for the same task. Relatively fast read speed & low power consumption make NV NEMory technology well suited for real time data searching applications! 36

37 Outline Introduction Extending the Era of Moore s Law Beyond Moore: Mechanical Computing Redux Summary 37

38 Summary Tilted ion implantation (TII) is an effective sublithographic patterning and pitch halving technique features are self aligned to pre existing mask process flow is much simpler (lower cost) than SADP shows promise for extending Moore s Law! Electronic devices which enable more energyefficient computation and data storage, at ever lower cost per function, will be required for ubiquitous computing. BEOL NEM devices show promise in this regard 38

39 Acknowledgements TII Enhanced Lithography: Dr. Sang Wan Kim (UC Berkeley) Dr. Peng Zheng (now with Intel Corporation) Dr. Leonard Rubin (Axcelis Technologies) UC Berkeley Marvell Nanofabrication Laboratory Funding from Applied Materials, Lam Research BEOL NEM Relays Technology: Dr. Nuo Xu (now with Samsung Semiconductor, Inc.) Dr. Kimihiko Kato (now at University of Tokyo) Prof. Vladimir Stojanović (UC Berkeley) Funding from National Science Foundation Center for Energy Efficient Electronics Science 39

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits

NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits T.-J. K. Liu 1, N. Xu 1, I.-R. Chen 1, C. Qian 1, J. Fujiki 2 1 Dept. of Electrical Engineering and Computer Sciences University of

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Recent Progress and Challenges for Relay Logic Switch Technology

Recent Progress and Challenges for Relay Logic Switch Technology Recent Progress and Challenges for Relay Logic Switch Technology Tsu-Jae King Liu Louis Hutin, I-Ru Chen, Rhesa Nathanael, Yenhao Chen, Matthew Spencer and Elad Alon Electrical Engineering and Computer

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Elad Alon 1, Tsu-Jae King Liu 1, Vladimir Stojanovic 2, Dejan Markovic 3 1 University of California, Berkeley 2 Massachusetts

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Faculty Presentation: Novel Technologies

Faculty Presentation: Novel Technologies 2009 IMPACT Workshop Faculty Presentation: Novel Technologies Chenming Hu, EECS Department, UC Berkeley Tsu-Jae King Liu, EECS Department, UC Berkeley Eugene Haller, MS&E Department, UC Berkeley Nathan

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Presenter: Tulika Mitra Swarup Bhunia, Massood Tabib-Azar, and Daniel Saab Electrical Eng. And

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions P. R. Nelson 1 ECE418 - VLSI Midterm Exam Solutions 1. (8 points) Draw the cross-section view for A-A. The cross-section view is as shown below.. ( points) Can you tell which of the metal1 regions is the

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor Future Trends in Microelectronics Impact on Detector Readout Paul O Connor Outline CMOS Technology Scaling Analog Circuits Radiation Effects Cost Detector Development Symposium Paul O'Connor BNL April

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

From Physics to Logic

From Physics to Logic From Physics to Logic This course aims to introduce you to the layers of abstraction of modern computer systems. We won t spend much time below the level of bits, bytes, words, and functional units, but

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

GaAs and InGaAs Single Electron Hex. Title. Author(s) Kasai, Seiya; Hasegawa, Hideki. Citation 13(2-4): Issue Date DOI

GaAs and InGaAs Single Electron Hex. Title. Author(s) Kasai, Seiya; Hasegawa, Hideki. Citation 13(2-4): Issue Date DOI Title GaAs and InGaAs Single Electron Hex Circuits Based on Binary Decision D Author(s) Kasai, Seiya; Hasegawa, Hideki Citation Physica E: Low-dimensional Systems 3(2-4): 925-929 Issue Date 2002-03 DOI

More information

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications?

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications? Advanced Topics In Solid State Devices EE290B Will a New Milli-Volt Switch Replace the Transistor for Digital Applications? August 28, 2007 Prof. Eli Yablonovitch Electrical Engineering & Computer Sciences

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors ECE 342 Electronic Circuits Lecture 6 MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #3: CMOS Inverters MOS Scaling Rajeevan Amirtharajah University of California, Davis Jeff Parhurst Intel Corporation Outline Review: Inverter Transfer Characteristics Lecture 3: Noise Margins,

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Lecture 11: MOSFET Modeling

Lecture 11: MOSFET Modeling Digital Integrated Circuits (83-313) Lecture 11: MOSFET ing Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 18 June 2017 Disclaimer: This course was prepared, in its entirety,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS)

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu January 15, 2014 1 Contents

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal* and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 12 VLSI II 2005-2-24 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last Time: Device

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2016 Final Friday, May 6 5 Problems with point weightings shown.

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices? EECS 498/598: Nanocircuits and Nanoarchitectures Lecture 1: Introduction to Nanotelectronic Devices (Sept. 5) Lectures 2: ITRS Nanoelectronics Road Map (Sept 7) Lecture 3: Nanodevices; Guest Lecture by

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Mutually-Actuated-Nano-Electromechanical (MA- NEM) Memory Switches for Scalability Improvement

Mutually-Actuated-Nano-Electromechanical (MA- NEM) Memory Switches for Scalability Improvement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.199 ISSN(Online) 2233-4866 Mutually-Actuated-Nano-Electromechanical

More information

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) SYLLABUS UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2 m CMOS Design

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies 1 Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies Steven P. Surgnier Abstract A timing simulation is presented for a MOSFET implemented

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information