Enhanced Mobility CMOS

Size: px
Start display at page:

Download "Enhanced Mobility CMOS"

Transcription

1 Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA Acknowledge support: DARPA, MARCO MSD, SRC 1

2 Outline Introduction Global and local stress techniques for CMOS performance improvement Beyond the first generation Summary 2

3 Introduction to MOSFET Scaling Challenges S/D extension Electrostatics Parasitics: Source/Drain Series resistance Gate dielectric leakage Gate electrode depletion Channel Transport S Channel G halo D 3

4 Electrostatics: Issues and Approaches As L is reduced, gate loses control of channel charge Short channel effects Technology solutions: Thin Si body Double or triple-gate structures (non-planar) G Channel L halo x j, ext S oxide Si D oxide Thin body MOSFET 4

5 Parasitics: S/D Series Resistance Source/drain series resistance is a significant I DS limitation with scaling Dopant solubility limits Lateral profile abruptness R S L x j, ext Technology solutions: Elevated source/drain Contact geometry Schottky barrier source/drain oxide 5

6 Gate Leakage Current Density (A/cm 2 ) Parasitics: Gate Insulator Leakage EOT Oxy-nitride (calc.) J g (limit) Source: 2003 ITRS High performance logic Year Equivalent Oxide Thickness, EOT (nm) I DS = W Q inv vel. Q inv = C ox (V gs V t ) C ox = k ox ε o T ox EOT = 3.9 T physical k Beyond 2007, oxy-nitride not expected to meet gate leakage requirements 6

7 Gate Insulator Leakage: High K TiN/HfO 2 gate stack W. Tsai, IEDM 2003 (IMEC) EOT = 3.9 T physical k Higher K enables sub-1-nm EOT scaling with gate leakage current < 5 A/cm 2 However, numerous issues, including loss of mobility High K not expected until 45 nm node 7

8 Parasitics: Gate Electrode Depletion Gate Electrode Depletion: Lower inversion charge density effectively limits EOT scaling Solution: metal gates (high carrier density) may also assist with high k mobility issues R. Chau, et al., Intel, Nov Metal gate Poly-Si gate Gate stack considered as a complete package: Channel, dielectric, electrode 8

9 MOSFET Scaling Challenges: Channel Transport & Universal Mobility Effective Electron Mobility µ eff (cm 2 /sec V) Universal mobility 7x x x x10 18 cm -3 Strained Si ~ 2X Vertical Effective Field E (MV/cm) eff Mobility decreases as channel doping increases Bulk Si MOSFET mobility data from Takagi, et al., TED, 1994 Solution: Strain enables new universal mobility curve 9

10 Importance of Mobility in Sub-50 nm Silicon MOSFETs I DS = W Q inv v xo Measured Velocity Thermal Velocity Lochtefeld, et al., (MIT), EDL % V ds =V gs =1.5V 25 nm M.C. 40 nm exp. 70 nm exp. Decreasing L eff, T ox V GS = V DS = V dd V S V G V D Q i (x o ) v xo E C 0 x L CH x o State-of-the-art MOSFETS are far from ballistic: improving the channel mobility still matters 10

11 Impact of Enhanced Mobility on Drive Current Mobility Enhancement in Strained Si Channel/Relaxed SiGe n-mosfets v elec. = g mi / C OX (cm/sec) ε OX / C OX = 67 A Strained Si Epi Si Control L poly (µm) Rim, Hoyt, Gibbons IEDM Intrinsic Transconductance, g mi (ms/mm) 67 Å 130 Å 750 Å 6000 Å 1.5 µm LTO Spacer 1800 A n + poly gate oxide p-strained Si n + p + Si 0.8 Ge 0.2 n Punch-through stop + p-relaxed Si 0.8 Ge 0.2 p-si 1-x Ge x Graded Layer p + Si Substrate x = 0.2 x = 0.05 Enhanced-mobility strained Si n-mosfet test structure Biaxial strain increases electron mobility above the universal MOS curve Mobility enhancements! I d and g m improvements at 100 nm channel length 11

12 I D I D Drain Current Increase I Dsat Increase is Correlated to Mobility K L=45nm L=45nm L=100nm Slope = 0.5 intrinsic Electron Mobility Increase µ n µ n I Observe D µ ~ 0.5 n I D µ n Drain current increased for given L and DIBL 12

13 Improving the Performance of Digital and Analog Circuits Impact of I d and g m enhancement, for constant sub-threshold swing: improved logic switching speed: Gate delay τ = CV/I on I D ON V t Swing S assume I on increased by 20% V t reduced leakage power trade 20% I on increase for 20X decrease in I off increased F t for analog MOS OFF I off decreased by 20X V V G 13

14 Outline Introduction Global and local stress techniques for CMOS performance improvement Beyond the first generation Summary 14

15 Number of Strained Si papers R&D in Strained Si Technology: Global Stress Techniques Å 1 µm 1993 Source n+ poly 1996 SiO Drain n+ Strained Si n+ Relaxed Si0.7 Ge0.3 Relaxed Graded Si1-yGe y layer y = 0 to µm ~ ~ Si substrate IEDM Conference Year (Dec.) 2003 Strained Si/SiGe Equilibrium Si 1-x Ge x Si Pseudomorphically Grown Tensile-Strained Si on Si 1-x Ge x Si Relaxed Si 1-x Ge x Breakthroughs in growth/materials/physics ~ 1990 ITRS Roadmap, 2001, Emerging Devices: Band-engineered transistor 15

16 Conduction Bands for Unstrained Si MOS SiO 2 Unstrained Si MOS 2 E c Unstrained Si MOS 4 2 [001] [010] 4 [100] Bulk Si 16

17 Effects of Strain on Conduction Bands Unstrained Si MOS 2 E C Strained Si MOS 2 Unstrained Si MOS 4 Strained Si MOS 4 1 E 3 s 2 E 3 s E tot Additional band splitting E s ~ 67 mev/10% Ge More electrons in lowest valley 2 (smaller m c *) Reduced intervalley scattering (larger E tot ) " Enhanced in-plane mobility (phonon-limited) 17

18 Mobility Measurements on Strained Si CMOS Rim, et al., VLSI Symp., 2002 (IBM) (STI, CMOS wells, halo implants, raised S/D, 2.2 nm t ox ) NMOS PMOS 13% Ge 28% Ge Peak mobility enhancements ~ 2X (electrons) and ~1.6X (holes) Hole mobility enhancement decreases with increasing vertical field 18

19 Valence Band: Oversimplified Strained Si Relaxed SiGe Unstrained Si E Γ k HH LH in-plane Strained Si E out-ofplane k Valence band degeneracy lifted ( E s ~ 40 mev/10% Ge) Reduced inter-band scattering and band deformation 19

20 High K Dielectrics with Strained Si Surface Channels Rim, et al., VLSI Symp., 2002 (T inv = 2.8 nm, 15% Ge substrate, poly-si gate) Strained Si can be used to recover mobility degradation associated with HfO 2 20

21 Continued EOT Scaling: HfO 2 + Metal Gate with Strained Si/Relaxed SiGe Datta, et al., IEDM, 2003 (Intel) (T inv = 1.4 nm, 10% Ge substrate, TiN gate) Metal gate improves mobility for thin HfO 2 dielectrics (screening effect) Mobility recovered to unstrained Si universal curve value with 10% Ge substrate at 1.4 nm EOT with HfO 2 dielectric 21

22 Number of Strained Si papers Global stress Local stress R&D in Strained Si Technology IEDM Conference Year (Dec.) two major categories: global stress (e.g. strained Si/SiGe, biaxial tensile stress) local stress control or process-induced stress STI, Cap layer, Silicide Schematic after C.-H. Ge, IEDM 2003 increase in IEDM papers in both categories in last three years 22

23 Local Stress: Optimizing Existing Processes for 3D Stress Control C.-H. Ge, et al., IEDM 03 (TSMC) Direction of stress with respect to current flow is important Tensile strain along y (W) believed to be beneficial for N- and P-MOS Effects increase as device is scaled in L and W 23

24 Example of Local Stress Technique T. Ghani, et al., IEDM 2003 (Intel) Intel demonstrated large I d (700 to 800 µa/µm) at 90 nm node for p-mosfets with selective SiGe in the source/drain regions n-mos I d enhancement ~ 10% PMOS NMOS 50% µ p enhancement at 1 MV/cm 24

25 Outline Introduction Global and local stress techniques for CMOS performance improvement Beyond the first generation of global and local stress techniques SSDOI Dual-channel MOSFETs Summary 25

26 Strained Si-Directly-on-Insulator Technology Potential advantages: better performance (as for SOI) eliminates processing and manufacturing issues associated with the thick SiGe layer T. Drake, et al., J. Elec. Mat., Sept Oxide suitable for ultra-thin body transistors that may replace the bulk MOSFET 10 nm-thick strained Si Poly-Si 10 nm strained Si directly on insulator (SSDOI): biaxial tensile stress SiO 2 Strained Si 3.7 nm Defected region BOX 30% SSDOI, I. Aberg, et al., VLSI

27 Fabrication of SSDOI Using Bond and Etch-Back Handle Wafer Strained Si LPCVD SiO 2 Strained Si LPCVD SiO 2 Strained Si Etch stops Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Si 1-x Ge x grade Si 1-x Ge x grade Si 1-x Ge x grade CZ Silicon (p-) CZ Silicon (p-) CZ Silicon (p-) Si 1-x Ge x grade x ~ 22% Final SSDOI structure Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Strained Si Strained Si Relaxed Si 1-y Ge y Strained Si LPCVD SiO 2 Handle Wafer Relaxed Si 1-y Ge y Strained Si LPCVD SiO 2 Handle Wafer LPCVD SiO 2 Handle Wafer 27

28 Enhanced Thermal Stability of SSDOI Unstrained Si Raman Intensity No RTA 600C 10 sec 800C 10 sec 950C 1 sec Silicon SSDOI T.S. Drake et al., ICSI3, Santa Fe, Mar See also: T. Langdo, et al., 2002 IEEE SOI Conf., p. 211 K. Rim, et al. IEEE IEDM, p. 49, Wavenumber (cm-1) Raman shift consistent with stain level for Si/SiGe (29%) No change in strain upon thermal annealing of SSDOI 28

29 Electron Mobility in SSDOI I. Aberg, et al., VLSI 2004 Effective Mobility (cm 2 /Vs) NMOS 96% 100% 30% SSDOI, ~15 nm 24% SSDOI, ~18 nm % SOI * Effective Electric Field (MV/cm) * Universal Mobility S. Takagi et al., IEEE TED 41, p. 2357, 1994 Electron mobility enhanced by 80% compared to Universal Mobility 29

30 Effective Mobility (cm 2 /Vs) Hole Mobility in SSDOI PMOS 127% * 40% SSDOI, 6 nm 30% SSDOI, 8 nm 54% 15% SOI, 12 nm Effective Electric Field (MV/cm) Large enhancements, even for thin silicon films * Universal Mobility S. Takagi et al., IEEE TED 41, p. 2357,

31 Hole Mobility in 40% SSDOI Effective Mobility (cm 2 /Vs) "universal" SOI 40% SSDOI 14 nm thick Hole Density (x10 13 cm -2 ) I. Aberg, et al., IEDM 2004 Strained Si Thickest 40% SSDOI to date: 14 nm (26 nm as grown) Enhancement at high inversion charge density Si 17 31

32 Hole Mobility vs. SSDOI Thickness Peak Field Effect Mobility (cm 2 /Vs) % SSDOI SOI PMOS 30% SSDOI 100 N D ~ 5x10 16 cm Silicon Thickness T (nm) Si µ FE µ eff equal at max point Film thickness suitable for PDSOI (today s technology) - process integration for SSDOI is similar to SOI 32

33 Dual-Channel Heterostructures Fitzgerald, Antoniadis, et al. Goal: nearly symmetric n- and p-mosfets Leverage high hole mobility in high Ge content compressively strained SiGe V T tunable by varying strain/ge fraction SS and charge control can be an issue for p-mosfets Strained Si (Tsi) Strained Si 0.4 Ge 0.6 (12nm) Relaxed Si 0.7 Ge 0.3 buffer Graded SiGe Si substrate E v E c Drain Current (ma) Si N/PMOS SiGe NMOS (Tsi=4.6nm) SiGe PMOS(Ttsi=1.6nm) Vg-Vt=1,2V Drain Voltage (V) J. Jung el al., EDL

34 Mobility Enhancement in Dual-Channel MOSFETs Mobility Enhancement Factor 11 nm NMOS Si 0.4 Ge 0.6 (strained) Si = 10 nm Strained Si cap = 3 nm NMOS Si 0.7 Ge 0.3 (relaxed) Si = 5 nm Strained Si Vertical Effective Field (10 5 V/cm) Mobility Enhancement factor E v E c PMOS PMOS J. Jung, et al., EDL, Aug Strained Si cap = 3 nm Si = 5 nm Si = 10 nm Vertical Effective Field (10 5 V/cm) large mobility enhancements for BOTH n- and p-mosfets 34

35 High Hole Mobility in Strained SiGe-Channel MOSFETs 1200 Effective Mobility (cm 2 /Vs) %SSDOI Dual-Channel PMOS 60/30 100% Ge strained to 50% Substrate (M.L. Lee, et al.) 80/50 Unstrained universal NMOS: 20% Ge Strained Si Si-channel PMOS Intel Vertical Effective Field (MV/cm) dual-channel hole mobilities much larger than for other technologies largest gain for strained Si/strained Ge on 50% (2X electron, 10x hole) 35

36 Evolution of Engineered Substrates Bulk Strained Si Strained Si 0.4 Ge 0.6 Si Si Relaxed Si 0.7 Ge Si bulk 2. strain-si/sige bulk 3. Dual channel high mobility, degraded SS and thick relaxed SiGe Si SOI Strained Si Strained Si 0.5 Ge 0.5 Si oxide Si 4. SOI 5. SSOI and SSDOI Si oxide HOI 60 on 30 (combines benefits of dual-channel with FDSOI) 36

37 Novel Silicon Heterostructures on Insulator (HOI) XTEM 25 nm NMOS I. Aberg, et al., IEEE SOI Conf., Oct nm gate oxide 20 nm 12 nm Poly-Si 10 nm Strained Si Strained SiGe (50% Ge) Buried Oxide 3 nm gate oxide 3 nm Strained Si (original substrate was 24% Ge) PMOS p+ + p+ BOX NMOS - n+ n+ BOX HOI combines benefits of dual-channel and fully-depleted SOI: high mobility for electrons & holes with ideal sub-threshold swing 37

38 Device Fabrication on HOI Isolation Dry etched mesas Gate oxide Wet oxidation, 650ºC 3.5 nm N+ poly gate for both NMOS and PMOS HOI S/D anneal 850ºC, 10 s SSDOI and SOI reference annealed at 1000ºC, 10 s S N+ poly BOX D 38

39 PMOS Subthreshold Characteristics I. Aberg, et al., IEDM 2004 I D (A) FD-HOI PMOS: SS = 66 mv/dec. V DS = -50mV, -1V gate: N+ poly W/L= 160 / 0.5 ε-si cap ~ 7 nm V (V) GS Subthreshold Swing (mv/dec) PMOS bulk dual-channel (Jung, et al.) HOI (Aberg) SOI Si cap thickness (nm) Subthreshold characteristics improved over bulk dual-channel: 66 mv/dec. 39

40 Electron Mobility: HOI vs. SSDOI Effective Mobility (cm 2 /Vs) univ. mob 75% SOI 30% SSDOI 24% SSDOI I. Aberg, VLSI '04 Lines: SSDOI Symbols: HOI B: 46/30 A: 46/ Effective Electric Field (MV/cm) Strained Si (y) Strained Si 1-z Ge z Strained Si (y) BOX Split: z/y A: 46/24 B: 35/30 HOI electron mobility enhancement 75 % similar to SSDOI 40

41 HOI Hole Mobility Effective Mobility (cm 2 /Vs) nm t cap = 2 nm I. Aberg, et al., IEDM 2004 PMOS A: 46/ C: 35/24 120% 107% 100 ~4 nm SOI Hole Density (x10 13 cm -2 ) t cap Strained Si (y) Strained Si 1-z Ge z Strained Si (y) BOX Split: z/y A: 46/24 C: 35/24 Mobility enhancement >100% for all N inv 41

42 Simulated Hole distribution Hole Density (cm -3 ) nm strained Si (y = 0.24) N inv = 1x10 13 cm nm 1.7 nm Si 0.54 Ge Distance (nm) t ox = 3.5 nm 2D Simulation: DESSIS, density gradient model t cap Thick cap: mobility degraded, inversion in cap Thin cap: inversion in SiGe buried channel Strained Si (y) Strained Si 1-z Ge z Strained Si (y) BOX 42

43 HOI and SSDOI vs. SOI I. Aberg, et al., IEDM 2004 Mobility Enhancement Factor* HOI A: 46/ nm 7.1 nm t cap = 2 nm PMOS Hole Density (x10 13 cm -2 ) t cap 14 nm SSDOI ε-si (y) ε-si 1-z Ge z ε-si (y) BOX * Reference is universal mobility derived from S. Takagi et al. 15 nm Enhancement > 2X possible at all N inv 43

44 Challenge: Thermal Budget (SIMS: impact of 10 s RTA) [Ge] in SiGe (%) I. Aberg, SOI Conf., 2004 HOI initial 865ºC 965ºC Ge diffusion coefficient (cm 2/ s) Si 0.5 Ge 0.5 Si Temperature ( º C) Si SiGe Depth (nm) Si N.R. Zangenberg, et al. Phys Rev. Lett. 87 (12), p , s RTA at 965ºC peak Ge decreases by 10 atomic % poorer hole confinement and inability to make thin Si caps Need ultra-low thermal budget annealing 44

45 Other Challenges Activation of n-type dopants: more difficult as Ge content increases Thin Si cap (~ 1 nm): eliminate Si cap if gate insulator is SiGe-compatible Band-to-band tunneling will increase with Ge content in channel (may limit scalability of pure Ge, but on-insulator helps) Keeping an open mind: difficult to change channel materials, but that is key to higher transport Si! SiGe (p-fet)! Ge! III-V on Si 45

46 Summary Number of challenges for maintaining CMOS performance trends Strain is a critical path to enhance transport: Local, global and perhaps combined techniques Higher Ge content structures are promising: strained SiGe or Ge channel for p-mos strained Si channel for n-mos feasible in a single epi layer stack Heterostructure on insulator: Transfer of strained heterostructures to insulator Provides new opportunities and challenges 46

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs 42nd ESSDERC, Bordeaux, France, 17-21 Sept. 2012 A2L-E, High Mobility Devices, 18 Sept. Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs M. Koyama 1,4,

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

High hole and electron mobilities using Strained Si/Strained Ge heterostructures

High hole and electron mobilities using Strained Si/Strained Ge heterostructures High hole and electron mobilities using Strained Si/Strained Ge heterostructures Saurabh Gupta, Minjoo L. Lee, Chris W. Leitz and E.A. Fitzgerald Department of Materials Science and Engineering, MIT, Cambridge,

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis Microsystems Technology Laboratories, MIT 1 presently with Teledyne Scientific 23rd International

More information

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Components Research, TMG Intel Corporation *QinetiQ. Contact: 1 High-Performance 4nm Gate Length InSb P-Channel Compressively Strained Quantum Well Field Effect Transistors for Low-Power (V CC =.5V) Logic Applications M. Radosavljevic,, T. Ashley*, A. Andreev*, S.

More information

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC)

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC) Extraction of Virtual-Source Injection Velocity in sub-100 nm III-V HFETs 1,2) D.-H. Kim, 1) J. A. del Alamo, 1) D. A. Antoniadis and 2) B. Brar 1) Microsystems Technology Laboratories, MIT 2) Teledyne

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain Ling Xia 1, Vadim Tokranov 2, Serge R. Oktyabrsky

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s

Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s 1406 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 7, JULY 2000 Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s Kern (Ken) Rim, Member, IEEE, Judy L. Hoyt, Member, IEEE, and James

More information

Performance Analysis of Ultra-Scaled InAs HEMTs

Performance Analysis of Ultra-Scaled InAs HEMTs Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 2009 Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche Birck Nanotechnology Center and Purdue University,

More information

Ultimately Scaled CMOS: DG FinFETs?

Ultimately Scaled CMOS: DG FinFETs? Ultimately Scaled CMOS: DG FinFETs? Jerry G. Fossum SOI Group Department of Electrical and Computer Engineering University of Florida Gainesville, FL 32611-6130 J. G. Fossum / 1 Outline Introduction -

More information

The Devices. Devices

The Devices. Devices The The MOS Transistor Gate Oxyde Gate Source n+ Polysilicon Drain n+ Field-Oxyde (SiO 2 ) p-substrate p+ stopper Bulk Contact CROSS-SECTION of NMOS Transistor Cross-Section of CMOS Technology MOS transistors

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Lecture #27. The Short Channel Effect (SCE)

Lecture #27. The Short Channel Effect (SCE) Lecture #27 ANNOUNCEMENTS Design Project: Your BJT design should meet the performance specifications to within 10% at both 300K and 360K. ( β dc > 45, f T > 18 GHz, V A > 9 V and V punchthrough > 9 V )

More information

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors ECE 342 Electronic Circuits Lecture 6 MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS International Journal of Control Theory and Computer Modeling (IJCTCM) Vol.3, No.2, March 213 NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS Abderrazzak

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout B.Doyle, J.Kavalieros, T. Linton, R.Rios B.Boyanov, S.Datta, M. Doczy, S.Hareland, B. Jin, R.Chau Logic Technology Development Intel

More information

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University Acknowledgments: Abigail Lubow, Xiao Sun, Shufeng Ren Switching Speed of CMOS

More information

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications 30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications T.-W. Kim, D.-H. Kim* and J. A. del Alamo Microsystems Technology Laboratories MIT Presently with Teledyne

More information

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 15: October 4, 2013 Scaling Today VLSI Scaling Trends/Disciplines Effects Alternatives (cheating) 1 2 Scaling ITRS Roadmap

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs Technische Universität Graz Institute of Solid State Physics 11. MOSFETs Dec. 12, 2018 Gradual channel approximation accumulation depletion inversion http://lampx.tugraz.at/~hadley/psd/l10/gradualchannelapprox.php

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

The Prospects for III-Vs

The Prospects for III-Vs 10 nm CMOS: The Prospects for III-Vs J. A. del Alamo, Dae-Hyun Kim 1, Donghyun Jin, and Taewoo Kim Microsystems Technology Laboratories, MIT 1 Presently with Teledyne Scientific 2010 European Materials

More information

VLSI Design The MOS Transistor

VLSI Design The MOS Transistor VLSI Design The MOS Transistor Frank Sill Torres Universidade Federal de Minas Gerais (UFMG), Brazil VLSI Design: CMOS Technology 1 Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices Zhiping Yu and Jinyu Zhang Institute of Microelectronics Tsinghua University, Beijing, China yuzhip@tsinghua.edu.cn

More information

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 MOSFET Transport Issues semiconductor band structure quantum confinement effects low-field mobility and high-field saturation Reading: - M. Lundstrom,

More information

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University NAME: PUID: : ECE 305 Exam 5 SOLUTIONS: April 17, 2015 Mark Lundstrom Purdue University This is a closed book exam. You may use a calculator and the formula sheet at the end of this exam. Following the

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Carbon Nanotube Electronics

Carbon Nanotube Electronics Carbon Nanotube Electronics Jeorg Appenzeller, Phaedon Avouris, Vincent Derycke, Stefan Heinz, Richard Martel, Marko Radosavljevic, Jerry Tersoff, Shalom Wind H.-S. Philip Wong hspwong@us.ibm.com IBM T.J.

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Lecture 3: CMOS Transistor Theory

Lecture 3: CMOS Transistor Theory Lecture 3: CMOS Transistor Theory Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V Characteristics Gate and Diffusion Capacitance 2 Introduction So far, we have treated transistors

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

A Verilog-A Compact Model for Negative Capacitance FET

A Verilog-A Compact Model for Negative Capacitance FET A Verilog-A Compact Model for Negative Capacitance FET Version.. Muhammad Abdul Wahab and Muhammad Ashraful Alam Purdue University West Lafayette, IN 4797 Last Updated: Oct 2, 25 Table of Contents. Introduction...

More information

Ultra-Scaled InAs HEMTs

Ultra-Scaled InAs HEMTs Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche 1, Gerhard Klimeck 1, Dae-Hyun Kim 2,3, Jesús. A. del Alamo 2, and Mathieu Luisier 1 1 Network for Computational ti Nanotechnology and Birck

More information

Simple Theory of the Ballistic Nanotransistor

Simple Theory of the Ballistic Nanotransistor Simple Theory of the Ballistic Nanotransistor Mark Lundstrom Purdue University Network for Computational Nanoechnology outline I) Traditional MOS theory II) A bottom-up approach III) The ballistic nanotransistor

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Quantum-size effects in sub-10 nm fin width InGaAs finfets Quantum-size effects in sub-10 nm fin width InGaAs finfets Alon Vardi, Xin Zhao, and Jesús A. del Alamo Microsystems Technology Laboratories, MIT December 9, 2015 Sponsors: DTRA NSF (E3S STC) Northrop

More information

Strained Si CMOS (SS CMOS) technology: opportunities and challenges

Strained Si CMOS (SS CMOS) technology: opportunities and challenges Solid-State Electronics 47 (23) 1133 1139 www.elsevier.com/locate/sse Strained Si CMOS (SS CMOS) technology: opportunities and challenges K. Rim a, *, R. Anderson b, D. Boyd b, F. Cardone a, K. Chan a,

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #2: MOSFET Structure and Basic Operation Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 1 this week, report due next week Bring

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

The Devices. Jan M. Rabaey

The Devices. Jan M. Rabaey The Devices Jan M. Rabaey Goal of this chapter Present intuitive understanding of device operation Introduction of basic device equations Introduction of models for manual analysis Introduction of models

More information

ECE-305: Fall 2017 MOS Capacitors and Transistors

ECE-305: Fall 2017 MOS Capacitors and Transistors ECE-305: Fall 2017 MOS Capacitors and Transistors Pierret, Semiconductor Device Fundamentals (SDF) Chapters 15+16 (pp. 525-530, 563-599) Professor Peter Bermel Electrical and Computer Engineering Purdue

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON Siddhartha Dhar*, Enzo Ungersböck*, Mihail Nedjalkov, Vassil Palankovski Advanced Materials and Device Analysis Group, at * *Institute

More information

This is the author s final accepted version.

This is the author s final accepted version. Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson- Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International

More information

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 1 Analysis of Band-to-band Title of Talk Tunneling Structures Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012 A Science & Technology Center Vertical Type-II TFET Structure

More information

DIFFUSION - Chapter 7

DIFFUSION - Chapter 7 DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same

More information

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor JFETs AND MESFETs Introduction Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor Why would an FET made of a planar capacitor with two metal plates, as

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University NAME: PUID: SECTION: Circle one: Alam Lundstrom ECE 305 Exam 5 SOLUTIONS: April 18, 2016 M A Alam and MS Lundstrom Purdue University This is a closed book exam You may use a calculator and the formula

More information

Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits

Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits Saibal Mukhopadhyay 1, Keunwoo Kim, Ching-Te Chuang, and Kaushik Roy 1 1 Dept. of ECE, Purdue University, West

More information

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA MOS Transistors Prof. Krishna Saraswat Department of Electrical Engineering S Stanford, CA 94305 saraswat@stanford.edu 1 1930: Patent on the Field-Effect Transistor! Julius Lilienfeld filed a patent describing

More information

Supporting information

Supporting information Supporting information Design, Modeling and Fabrication of CVD Grown MoS 2 Circuits with E-Mode FETs for Large-Area Electronics Lili Yu 1*, Dina El-Damak 1*, Ujwal Radhakrishna 1, Xi Ling 1, Ahmad Zubair

More information

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal* and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs 2008 Indium Phosphide and Related Materials Conference, May, Versailles, France Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs M. Rodwell University of California, Santa Barbara M.

More information

II III IV V VI B C N. Al Si P S. Zn Ga Ge As Se Cd In Sn Sb Te. Silicon (Si) the dominating material in IC manufacturing

II III IV V VI B C N. Al Si P S. Zn Ga Ge As Se Cd In Sn Sb Te. Silicon (Si) the dominating material in IC manufacturing II III IV V VI B N Al Si P S Zn Ga Ge As Se d In Sn Sb Te Silicon (Si) the dominating material in I manufacturing ompound semiconductors III - V group: GaAs GaN GaSb GaP InAs InP InSb... The Energy Band

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation X. Cai, J. Lin, D. A. Antoniadis and J. A. del Alamo Microsystems Technology Laboratories, MIT December 5, 2016 Sponsors:

More information

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline Introduction to MOS VLSI Design hapter : MOS Transistor Theory copyright@david Harris, 004 Updated by Li hen, 010 Outline Introduction MOS apacitor nmos IV haracteristics pmos IV haracteristics Gate and

More information

ESE534: Computer Organization. Today. Why Care? Why Care. Scaling. Preclass

ESE534: Computer Organization. Today. Why Care? Why Care. Scaling. Preclass ESE534: Computer Organization Today Day 7: February 8, 2010 VLSI Scaling VLSI Scaling Rules Effects Historical/predicted scaling Variations (cheating) Limits 1 2 Why Care? In this game, we must be able

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

CMOS Devices. PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors

CMOS Devices. PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors CMOS Devices PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors PN Junctions Diffusion causes depletion region D.R. is insulator and establishes barrier

More information