Tilted ion implantation as a cost-efficient sublithographic

Size: px
Start display at page:

Download "Tilted ion implantation as a cost-efficient sublithographic"

Transcription

1 Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California Axcelis Technologies, 108 Cherry Hill Drive, Beverly, Massachusetts a) Electronic mail: swkim83@berkeley.edu Abstract Tilted ion implantation (TII) is experimentally demonstrated to be a promising approach to pattern features smaller than pre-existing mask features on the surface of a wafer substrate. Key to this approach is a substantial change in the etch rate of a thin masking layer by TII. 15 -tilted Ar + implantation into a 10 nm-thick thermally grown silicon dioxide (SiO 2 ) masking layer at a dose of cm -2 enhances its etch rate in dilute hydrofluoric acid (DHF) solution by a factor of approximately 9. Features defined by TII are shown to be self-aligned to the pre-existing mask features and to have critical dimensions that can be adjusted by changing the implant tilt angle (θ) and/or geometrical dimensions of the pre-existing mask features. In this work, trenches of width as small as ~9 nm and sub-20 nm holes are achieved by TII-enhanced patterning. 1

2 I. INTRODUCTION Scaling of integrated circuit (IC) feature sizes beyond the resolution limit of immersion lithography has been enabled by multiple-patterning techniques 1-3. However, their significant incremental cost is of concern for further increasing the density of transistors on an IC chip 2-4. Although so-called next-generation lithography techniques such as extreme ultraviolet lithography (EUVL), directed self-assembly (DSA) and nanoimprint lithography (NIL) have been extensively investigated, technical challenges hinder their practical application in high-volume manufacturing (HVM) 5-9. Therefore, a more cost-efficient approach is needed to sustain Moore s Law. Tilted ion implantation (TII) recently has been proposed as a cost-efficient technique for defining sub-lithographic features, as illustrated in Figure By using TII in conjunction with patterned photoresist (PR) and/or hard-mask features formed on the surface of a wafer substrate, sub-lithographic implanted regions aligned to the PR/hard-mask features can be formed. A substantial difference in etch rates of implanted vs. unimplanted regions allows for the implanted regions to be distinguished during a subsequent etch process step, so that the thin masking layer is patterned with sublithographic features. In this paper, the versatility of this technique for forming sublithographic features and pitch-halving is discussed. 2

3 l h (a) FIG. 1. (Color online) Schematic cross-sections illustrating the concept of TII-enhanced patterning. (a) Mask features serve to block incoming ions (whose trajectories are indicated by the arrows) from reaching a thin masking layer coating the surface of the wafer substrate. (b) The implanted region of the thin masking layer has a dimension x that is smaller than the mask-defined feature of size l. II. EXPERIMENTAL A. SiO 2 etch rate enhancement by Ar + implantation Previous work has shown that the etch rate of silicon dioxide (SiO 2 ) in hydrofluoric acid (HF) solution can be significantly enhanced by ion implantation, if the damage induced is greater than a certain threshold level (e.g., cm -3 for SiO 2 ) 13. For the purpose of patterning fine features in a SiO 2 masking layer, the layer thickness should be very thin to avoid significant lateral etching during the post-implantation wet etch process. Thus, the effect of TII on the etch rate of ultra-thin SiO 2 layers is investigated in this work. Figure 2 plots the measured etch rate in dilute hydrofluoric (DHF) acid solution (200:1 H 2 O:HF) for 10 nm-thick thermally grown SiO 2 layers. Ar + implantation was performed at 15 tilt angle, 3.0 kev acceleration energy, and cm -2 dose. 3

4 Damage [x10 22 /cm 3 ] Clearly the etch rate is significantly enhanced, by a factor of ~9, for the implanted SiO 2 layer vs. the unimplanted SiO 2 layer. By comparing the etch rate of the implanted SiO 2 layer against the simulated damage concentration profile obtained using SRIM 14, it can be seen that the etch rate correlates well with structural damage induced by TII. Etch rate [Å/sec] implant condition 3.0 kev, 15º, 3X10 14 cm -2 ~9X no implant SiO 2 Depth [Å] FIG. 2. (Color online) Measured etch rate (left y-axis) of 10 nm-thick thermal SiO 2 layers in DHF solution, as a function of depth. Simulated damage (right y-axis) in a 10 nm-thick SiO 2 layer induced by tilted ion implantation. (The experimental and simulated Ar + implant conditions are the same: 15 tilt angle, 3.0 kev acceleration energy, and cm -2 dose. SRIM simulation was performed with full damage cascades 13, 14. B. Hard-mask formation To demonstrate the applicability of TII for defining nanometer-scale features, spacer lithography 3 was used in conjunction with conventional 248 nm deep-ultraviolet (DUV) lithography to form amorphous silicon () hard-mask features with sub-100 nm dimensions over a thermally oxidized silicon wafer substrate, as shown in Figure 3. After the hard-mask layer was deposited onto the thin thermal SiO 2 layer by low-pressure chemical vapor deposition (LPCVD), a layer of low-temperature-deposited oxide (LTO) was deposited to serve as a hard-mask layer for patterning the hard-mask layer. Then 4

5 a sacrificial layer of was deposited and patterned by DUV lithography, after which sub-100 nm-wide LTO spacers were formed along the sidewalls of the patterned sacrificial by conformal deposition (LPCVD) and reactive ion etching (RIE) [Figs. 3(a) and (d)]. Next the sacrificial features were removed by a selective RIE process [Figs. 3(b) and (e)] and then the spacers served as a mask for RIE of the LTO hard-mask layer. Finally, the pattern of the spacers was transferred to the hard-mask layer with the aid of the LTO hard-mask [Figs. 3(c) and (f)]. LTO LTO LTO LTO Thermal SiO 2 Thermal SiO 2 Thermal SiO 2 Si (a) Si (b) Si (c) LTO LTO LTO LTO LTO (d) (e) (f) FIG. 3. (Color online) Cross-sectional schematics (a-c) and scanning electron microscope images (d-f) illustrating the spacer lithography process used to form sub-lithographic hard-mask features. 5

6 III. RESULTS AND DISCUSSION A. Sub-lithographic patterning From Figure 1 it can be seen that the TII-defined feature size (x) is determined by the implant tilt angle (θ) as well as the mask feature spacing (l), effective height (h) and sidewall angle ( ): x l h(tanθ cot ) (1) Figure 4 shows technology computer-aided design (TCAD) simulations of the implanted Ar profile within the thin SiO 2 masking layer, for different tilt angles. As θ increases from 5 to 25, x decreases from ~200 nm to 100 nm. 260 nm Argon (cm -3 ) 2.0X X X X X X nm = nm (a) 70 nm (b) Doping Conc. [cm -3 ] (c) different implant angles Location [nm] FIG. 4. (Color online) Effect of implant tilt angle θ on TII-defined feature size x, for fixed hard-mask geometry (l = 220 nm, h = 260 nm, = 90 ). (a, b) Contour plots of Ar + 6

7 concentration (cm -3 ) and (c) extracted Ar concentration as a function of location between the hard-mask features. (The origin corresponds to the right edge of the left hard mask feature.) Figures 5 (a) and (b) show experimental results obtained with Ar + implant tilt angles of 15 and 20, respectively. These samples were subjected to DHF etch followed by RIE of the crystalline silicon (c-si) substrate, which allows the implanted regions to be easily distinguished. The hard mask for the sample shown in Figure 5(a) was not patterned with the aid of a LTO hard-mask layer; therefore it was etched during the Si RIE process. Taking this into consideration, the angle measured from the edge of the remaining hard-mask feature to the edge of the etched c-si region matches the expected value of 15. In addition, the length of the sub-lithographic feature defined by TII (i.e., x = ~156.3 nm) corresponds well with the simulation result shown in Figure 4(a). The sample shown in Figure 5(b) had a thinner hard-mask layer which was patterned using a LTO hard-mask. After all of the process steps, ~24.2 nm-thick LTO remained on top of the hard-mask features. The angle measured from the edge of the remaining LTO hard-mask feature to the edge of the unetched c-si region matches the expected value of 20. 7

8 nm 73.8 (i) (iii) (ii) Si substrate (a) 20 (iii) (i) (ii) 100 nm SiO Si substrate (b) FIG. 5. (Color online) Cross-sectional SEM images of samples comprising a 10 nm-thick SiO 2 masking layer and hard-mask features, after tilted Ar + implantation, DHF etch and Si RIE process steps. The Ar + implant conditions were: 3.0 kev acceleration energy, cm -2 dose, (a) 15 and (b) 20 tilt angle. (i) corresponds to etched c-si regions, (ii) corresponds to unetched c-si regions protected during the Si RIE process by the (unimplanted portion of the) SiO 2 masking layer, and (iii) corresponds to hard-mask features. B. Self-alignment to pre-existing mask features Figure 6 shows a plan-view SEM image of a sample with etched c-si features defined by 15 TII. It can be seen that these features are self-aligned to the pre-existing a- Si hard-mask features, i.e. the shape of the hard-mask line edge is reproduced with good fidelity. 8

9 (i) (ii) (iii) nm Mag = 150 K X FIG. 6. (Color online) Plan-view SEM showing the self-aligned nature of TII-patterned features, especially at the black-circled area. (i) corresponds to etched c-si regions, (ii) corresponds to unetched c-si regions, and (iii) corresponds to hard-mask features. C. Pitch-halving Double tilted (positive-angle and negative-angle) Ar + implantation was performed on another sample to demonstrate the feasibility of pitch-halving by TII-enhanced patterning. The implant conditions were the same as for the sample of Figure 5(a) except that two implants were performed, one at θ = 15 and the other at θ = 15. Figure 7(a) shows the results obtained for different values of hard-mask spacing (l). Considering that l is ~61.4 nm for the leftmost set of features, the local half-pitch of the etched c- Si is ~20.5 nm. For the rightmost set of features with smaller l in Figure 7(a) there is no feature defined by the 15 o implant; this is likely due to asymmetry of the hard-mask spacers, i.e. variations in h and (cf. Eq. (1)) between the left spacer and the right spacer. Figure 7(b) shows a set of hard-mask features with much wider spacing so that the implanted regions overlap, i.e. the central region was subjected to double tilted Ar + implantation. The 50 s DHF dip was insufficient to completely remove singly implanted regions of the SiO 2 masking layer (total implanted dose = cm -2 (i)), but sufficient 9

10 to completely remove the doubly implanted region (total implanted dose = cm -2 (i)). This is not surprising given that the enhancement in etch rate of SiO 2 is dependent on the amount of structural damage and hence the implant dose 13. These results indicate that the TII technique can be used to define various patterns by adjusting the implant dose and masking-layer etch time nm 200:1 DHF 75 sec (ii) (iii) 92.8 SiO (i) (a) Si substrate 100 nm (ii) 200:1 DHF 50 sec X X10 14 (i) (iii) 48.4 Si substrate (b) FIG. 7. (Color online) Cross-sectional SEM images showing the feasibility of double TII for double-patterning. Ar + implantation was performed at positive and negative tiltangles (±15 with 3.0 kev acceleration energy and cm -2 dose). Afterwards the samples were etched in DHF for (a) 75 sec and (b) 50 sec and subjected to Si RIE. (i) corresponds to etched c-si regions, (ii) corresponds to unetched c-si regions, and (iii) corresponds to hard-mask features. D. Demonstration of sub-10 nm features Figure 8 shows that the local density of etched c-si features is double that of the hard-mask features. In addition, sub-10 nm (local) half-pitch features are achieved, self-aligned to the hard-mask features. In short, the TII-enhanced patterning approach can be used to define sub-10 nm features. 10

11 (i) 23.8 (ii) (iii) nm FIG. 8. (Color online) Plan-view SEM image showing that the edges of the etched c-si regions are self-aligned to the edges of the hard-mask features. Double-tilted ion implantation is effective for doubling the density of features. E. Contact hole formation TII-enhanced patterning also can be used in conjunction with non-linear preexisting masking features on the surface of a wafer substrate. Figure 9 shows results obtained with round hard-mask features (i.e., holes) and a single Ar + implant at 3.0 kev acceleration energy, cm -2 dose, and 15 tilt angle. Figure 9(b) shows a ~18.6 nm ellipse-shaped etched hole defined by TII. (It should be noted that the shape of the hard mask can be adjusted to achieve a round TII-defined hole.) (a) (b) θ (c) θ (i) (ii) (iii) (b) 73.8 Silicon Silicon Silicon S 400 nm 100 nm imp r 11

12 FIG. 9. (Color online) (a, b) SEM images showing that TII-enhanced patterning can be used to define sub-lithographic holes. (The residues of SiO 2 masking layer (ii) outside of the hard-mask features (iii) are attributed to incomplete removal of the sacrificial a- Si layer shown in Figure 3(a).) (c) Schematic illustrations showing how TII defines a sub-lithographic ellipse-shaped hole within a lithographically defined round hole. IV. CONCLUSION TII is demonstrated to be an effective approach for defining sub-lithographic features and increasing the density of features self-aligned to pre-existing mask features. TII-defined feature dimensions are controlled by the implant tilt angle, and also depend on the geometrical dimensions of the pre-existing mask features. Using double TII, the density of features can be doubled; local half-pitch as small as ~9.0 nm was experimentally demonstrated. Because ion implantation is a well-established and relatively low cost process, TII-enhanced patterning can be easily adopted in HVM to help extend Moore s Law. ACKNOWLEDGMENTS The authors thank Applied Materials, Inc. and Lam Research Corporation for supporting this work. The tilted ion implantation processes were performed by Axcelis Technologies, Inc. The wafers were processed in the Marvell Nanofabrication Laboratory at the University of California, Berkeley. This work was supported in part by the National Science Foundation within the Directorate for Engineering through the Center for Energy Efficient Electronics Science under Award

13 REFERENCES 1 Y.-K. Choi, T.-J. King, and C. Hu, IEEE T. Electron Dev., 49, 436 (2002). 2 A. Yen, Advanced lithography R&D for 5 nm and beyond, Int. El. Devices Meet., Short Course (2015). 3 International Technology Roadmap for Semiconductors, 4 J. Finder, M. Dusa, B. Vleeming, B. Hepp, M. Maenhoudt, S. Cheng, and T. Vandeweyer, J. Micro-Nanolith. MEM. 8, (2009). 5 N. Mojarad, M. Hojeij, L. Wang, J. Gobrecht, and Y. Ekinci, Nanoscale 7, 4031 (2015). 6 A. Pirati, et al., SPIE Proc. Ser. 9776, 97760A (2016). 7 S.-J. Jeong, J. Y. Kim, B. H. Kim, H.-S. Moon, and S. O. Kim, Mater. Today 16, 468 (2013). 8 M. Muramatsu, et al., SPIE Proc. Ser. 9777, 97770F (2016). 9 X. Guo, et al., J. Vac. Sci. Technol. B 32, 06FG06, (2014). 10 S. W. Kim, P. Zheng, K. Kato, L. Rubin and T.-J. K. Liu, SPIE Proc. Ser. 9777, 97771B (2016). 11 R. Divakaruni, S. Kudelka, H. Tews, I. McStay, K.-H. Lee, and U. Schroeder, U.S. Patent No. 6,498,061 (2000) 12 P.-I. Lee, C.-Y. Lee, and C.-L. Cheng, U.S. Patent No. 7,316,978 (2005) 13 X. Sun, Q. Lu, H. Takeuchi, S. Balasubramanian and T.-J. K. Liu, Electrochem. Solid. St. 10, D89 (2007)

14 FIGURE CAPTIONS FIG. 1. (Color online) Schematic cross-sections illustrating the concept of TII-enhanced patterning. (a) Mask features serve to block incoming ions (whose trajectories are indicated by the arrows) from reaching a thin masking layer coating the surface of the wafer substrate. (b) The implanted region of the thin masking layer has a dimension x that is smaller than the mask-defined feature of size l. FIG. 2. (Color online) Measured etch rate (left y-axis) of 10 nm-thick thermal SiO 2 layers in DHF solution, as a function of depth. Simulated damage (right y-axis) in a 10 nm-thick SiO 2 layer induced by tilted ion implantation. (The experimental and simulated Ar + implant conditions are the same: 15 tilt angle, 3.0 kev acceleration energy, and cm -2 dose. SRIM simulation was performed with full damage cascades 13, 14.) FIG. 3. (Color online) Cross-sectional schematics (a-c) and scanning electron microscope images (d-f) illustrating the spacer lithography process used to form sub-lithographic hard-mask features. FIG. 4. (Color online) Effect of implant tilt angle θ on TII-defined feature size x, for fixed hard-mask geometry (l = 220 nm, h = 260 nm, = 90 ). (a, b) Contour plots of Ar + concentration (cm -3 ) and (c) extracted Ar concentration as a function of location between the hard-mask features. (The origin corresponds to the right edge of the left hard mask feature.) FIG. 5. (Color online) Cross-sectional SEM images of samples comprising a 10 nm-thick SiO 2 masking layer and hard-mask features, after tilted Ar + implantation, DHF etch and Si RIE process steps. The Ar + implant conditions were: 3.0 kev acceleration energy, cm -2 dose, (a) 15 and (b) 20 tilt angle. (i) corresponds to etched c-si regions, (ii) 14

15 corresponds to unetched c-si regions protected during the Si RIE process by the (unimplanted portion of the) SiO 2 masking layer, and (iii) corresponds to hard-mask features. FIG. 6. (Color online) Plan-view SEM showing the self-aligned nature of TII-patterned features, especially at the black-circled area. (i) corresponds to etched c-si regions, (ii) corresponds to unetched c-si regions, and (iii) corresponds to hard-mask features. FIG. 7. (Color online) Cross-sectional SEM images showing the feasibility of double TII for double-patterning. Ar + implantation was performed at positive and negative tilt-angles (±15 with 3.0 kev acceleration energy and cm -2 dose). Afterwards the samples were etched in DHF for (a) 75 sec and (b) 50 sec and subjected to Si RIE. (i) corresponds to etched c-si regions, (ii) corresponds to unetched c-si regions, and (iii) corresponds to hard-mask features. FIG. 8. (Color online) Plan-view SEM image showing that the edges of the etched c-si regions are self-aligned to the edges of the hard-mask features. Double-tilted ion implantation is effective for doubling the density of features. FIG. 9. (Color online) (a, b) SEM images showing that TII-enhanced patterning can be used to define sub-lithographic holes. (The residues of SiO 2 masking layer (ii) outside of the hard-mask features (iii) are attributed to incomplete removal of the sacrificial a- Si layer shown in Figure 3(a).) (c) Schematic illustrations showing how TII defines a sublithographic ellipse-shaped hole within a lithographically defined round hole. 15

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer SUHAILA SEPEAI, A.W.AZHARI, SALEEM H.ZAIDI, K.SOPIAN Solar Energy Research Institute (SERI), Universiti Kebangsaan Malaysia (UKM), 43600

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS Christian L. Petersen, Rong Lin, Dirch H. Petersen, Peter F. Nielsen CAPRES A/S, Burnaby, BC, Canada CAPRES A/S, Lyngby, Denmark We

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Highly Sensitive Color-Tunablility by Scalable. Nanomorphology of Dielectric Layer in Liquid Permeable. Metal-Insulator-Metal Structure

Highly Sensitive Color-Tunablility by Scalable. Nanomorphology of Dielectric Layer in Liquid Permeable. Metal-Insulator-Metal Structure Supporting Information Highly Sensitive Color-Tunablility by Scalable Nanomorphology of Dielectric Layer in Liquid Permeable Metal-Insulator-Metal Structure Eui-Sang Yu,, Sin-Hyung Lee, Young-Gyu Bae,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Chao Wang a and Stephen Y. Chou b Department of Electrical Engineering, NanoStructure Laboratory, Princeton University, New Jersey 08544

Chao Wang a and Stephen Y. Chou b Department of Electrical Engineering, NanoStructure Laboratory, Princeton University, New Jersey 08544 Self-aligned fabrication of 10 nm wide asymmetric trenches for Si/SiGe heterojunction tunneling field effect transistors using nanoimprint lithography, shadow evaporation, and etching Chao Wang a and Stephen

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

20 MHz Free-Free Beam Microelectromechanical Filter with High Quality Factor

20 MHz Free-Free Beam Microelectromechanical Filter with High Quality Factor 20 MHz Free-Free Beam Microelectromechanical Filter with High Quality Factor Group 4 Yang Lu 1, Tianfeng Lu 1, Han Wang 2, Zichen Tang 2 1 Department of Material Science and Engineering 2 Department of

More information

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface Solid State Phenomena Online: 24926 ISSN: 6629779, Vol. 29, pp 58 doi:.428/www.scientific.net/ssp.29.5 25 Trans Tech Publications, Switzerland Impact of electrostatic effects on wet etching phenomenon

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS)

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu January 15, 2014 1 Contents

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT WRITE YOUR SOLUTIONS ON ONLY ONE SIDE OF EMPTY SOLUTION SHEETS

More information

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Yuanrui Li 1, Ahmed Abbas 1, Yuhan Yao 1, Yifei Wang 1, Wen-Di Li 2, Chongwu Zhou 1 and Wei Wu 1* 1 Department

More information

Institute of Physics Publishing Journal of Physics: Conference Series 34 (2006) 1038 1043 doi:10.1088/1742-6596/34/1/172 International MEMS Conference 2006 Characterisation of anisotropic etching in KOH

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress JOURNAL OF APPLIED PHYSICS VOLUME 86, NUMBER 12 15 DECEMBER 1999 Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress C. N. Liao, a)

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

Hydrodynamics of Diamond-Shaped Gradient Nanopillar Arrays for Effective. DNA Translocation into Nanochannels. (Supplementary information)

Hydrodynamics of Diamond-Shaped Gradient Nanopillar Arrays for Effective. DNA Translocation into Nanochannels. (Supplementary information) Hydrodynamics of Diamond-Shaped Gradient Nanopillar Arrays for Effective DNA Translocation into Nanochannels (Supplementary information) Chao Wang 1, Robert L. Bruce, Elizabeth A. Duch, Jyotica V. Patel,

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES SHENG F. YEN 1, HAROON LAIS 1, ZHEN YU 1, SHENGDONG LI 1, WILLIAM C. TANG 1,2, AND PETER J. BURKE 1,2 1 Electrical Engineering

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa Simulation of Plasma Immersion Ion Implantation A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa 2011 International Conference on Simulation of Semiconductor Processes and Devices

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82 Lecture 7 Oxidation Chapter 7 Wolf and Tauber 1/82 Announcements Homework: Homework will be returned to you today (please collect from me at front of class). Solutions will be also posted online on today

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

High speed focused ion (and electron) beam nanofabrication

High speed focused ion (and electron) beam nanofabrication High speed focused ion (and electron) beam nanofabrication John Melngailis, Department of Electrical and Computer Engineering and Institute for Research in Electronics and Applied Physics University of

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

M R S Internet Journal of Nitride Semiconductor Research

M R S Internet Journal of Nitride Semiconductor Research Page 1 of 6 M R S Internet Journal of Nitride Semiconductor Research Volume 9, Article 7 The Ambient Temperature Effect on Current-Voltage Characteristics of Surface-Passivated GaN-Based Field-Effect Transistors

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane

Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane M. M. Mkrtchyan, a) A. S. Gasparyan, K. A. Mkhoyan, J. A. Liddle, and A. E. Novembre Bell Laboratories of Lucent

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information