Will Reliability Limit Moore s Law?

Size: px
Start display at page:

Download "Will Reliability Limit Moore s Law?"

Transcription

1 Will Reliability Limit Moore s Law? Tony Oates, TSMC 1

2 Outline Trends Interconnect Transistors Soft Errors in Memory and Logic Conclusions 2

3 Market Growth Electronic Equipment Revenue ($B) 2,500 2,000 1,500 1, st Wave: Desktop PC 2 nd Wave: Mobile Phone 3 rd Wave: Mobile Computing

4 System Integration and Scaling in 3D Human Brain ~20W, 3D ~100B Neural Cells (~1T Transistors) vs. Thousands of Cores 28nm HKMG vs.?w, 2D ~7B Logic transistors 20B vias, 20Km metal 3D CMOS (FinFET,..) TSV/3D-Stacking 3D + 3D Si-Based Green System Scaling 4 200x to match brain 2nm node or +7 generations (>15years) Next 10 years: reasonably clear to ~5nm Many new innovations are possible beyond 10 years Si-based 3D green CMOS + Si wafer-based 3D Chip Stacking Faster, smaller/thinner, lighter, lower power, and higher system value = Si-Based System Scaling

5 Moore s Law: Innovation Based IC Scaling Edelstein

6 Reliability Improvement Has Enabled IC Progress Bohr, But will reliability limit the pace of future progress?

7 Shrinking Reliability Margins Defects SER EM, TDDB, BTI, HCI etc.. Failure Rate 7

8 Interconnect Requirements Interconnects dominate system delay Jmax(MA/cm C ITRS Technology Node (nm) RC reduction Jmax Increase 8

9 Porous Low-k Dielectrics K=2.0 (P~40%) K=2.5 (P~25%) K=2.9 (Porosity~15%) Cap TDDB Lifetime T=125C E-Field (MV/cm) Pores reduce breakdown path length SiOC Cu Rapid reduction of reliability with increasing porosity 9

10 Porous Low-k Reliability Scaling k reduction is limited by reliability K= Median Time to Fail (t 63% ) E= 4 MV/cm, T=125C Model Porosity (%) Percolation Theory Lee IRPS Independent of voltage acceleration model 10

11 Solutions for Low-k Scaling Median Time to Fail (t 63% ) K=3.9 E= 4 MV/cm,T=125C Model β γ 0 ) e 0 L t = t ( a Model of control porcess increase t o by ~10X increase BD path length by ~30% SiO 2 Air Gap data Porous SiOC Air Gap data E Porosity (%) 11 Air Gap / non-porous materials

12 Low-k TDDB Variability Interaction 99% 63% LER, Via Overlay 10% 1% 0.1% Failure Time LER and via overlay sources of variability are aggravated by scaling 12

13 Failure Time LER Variability: Minimal Impact at Use Voltage Accelerated Test f(v/s)= E-model f(v/s)= Sqrt(E) model f(v/s)= 1/E model t = t 0 N(s) -1/β f(v/s) 99% 63% LER s = 10% s 0 Failure Time LER σ = 3%s 0, β=3, N=10000, E = 6 MV/cm Normalized dielectric thickness t = t 0 N(s) -1/β e -γv/s s fai l = s min Circuit Use 10% 1% 0.1% E=7 β=0.49 E=5 E=3 β=0.68 β=1.06 Time E=0.6 (MV/cm) β= s fail = s nom LER σ = 3%s 0, β=3, N=10000, E=0.5 MV/cm Normalized dielectric thickness

14 Scaling of Cu Electromigration 10 8 t f i = V A ν s i c i d 2 Kw Trench mode T50 (a.u.) 6 4 Slit mode 2 0 Technology node (nm) 14 Critical geometry, fast transport limit reliability

15 A Paradigm Change Jmax(MA/cm C Short length benefit, L<5µm ITRS 2010 new Technology Node (nm) J em Blech Effect ( jl) c Cu = Ω σ * ez ρ J s EM design rules are now driven by short lengths 15

16 Short Length Electromigration Scaling t 0.1% (L) / t 0.1% (L=250µm) 10nm 16nm 20nm 28nm L=5 µm L=10 µm L=250 µm t b /w 16 Short-length reliability reduces fastest! (barrier thickness reduction)

17 17 Short and Long Length Failure Times are NOT Independent ) ( ci i s i v v d s c f j j A w d KL A V t i i i i i i = ν So solutions are independent of length

18 Electromigration Process Solutions for Continued J max Increase Cu/cap interface Cap bulk Grain Boundary Metal Caps interface Cu Alloys g.b. Liner Reduction of grain boundary transport is key 18

19 Viability of Cu Process Solutions V d T/ j (Kcm 3 /A sec) 1E-11 1E-12 1E-13 1E-14 1E-15 1E-16 1E-17 1E-18 Temperature ( o C) N10 target N7 target Cu CuCo 110 N10 Pure Cu N10 CuAl doping N10 CuMn doping N10 Co-cap+Co barrier CuAl CuMn 1E-19 N5 targetcu Drift Velocity 1E /T (K -1 ) Goal 10 nm 7 nm 5 nm Cu alloys: limited options for 10 nm and below 19

20 Process Variability Impact on Electromigration Failure Times Volume=V c Area=A s L v d v j t f i = A V s i c i ν d A KL s i d w vi vi i ( ji jci ) V c, A s, j ci are statistically distributed random variables 20

21 Impact of Process Variability: Failure when j < j c L=50 µm, j=0.5 j c Multi-link N=50 R (ohm) Time(a.u.) R (ohm) j=0.7j c R~15Ω L=10 µm Time(a.u.) Short length immortality cannot be applied to circuits 21

22 Impact of Process Variation: New Extrapolation Procedures Lognormal fit j c = Lognormal fitting does not work

23 Transistor Structure and Materials Trends Mobility (performance) 100X 3D transistors and new materials on Si substrate 10X 1X Moore s Law 20nm /14nm nm nm nm 2019 Nanowire FET III-V on Si CMOS (TSMC, VLSI 04) 23 HKMG Planar N16 FinFET

24 Scaling of HK/MG Transistor Degradation Mechanisms SiO 2 /HfO 2 Linder, DAC,

25 Technology Scaling Impact on NBTI Interface State Generation NBTI Lifetime due to Vit (a.u.) EOT 1 > EOT 2 > EOT 3 > EOT 4 > EOT 5 > EOT 6 > EOT 7 > EOT 8 > EOT 9 ΔVt NBTI R-D Model EOT 1 EOT 4 EOT ( ) ( ) 2 1 2γ Eox 7 6 = A EOT E 3 C exp t EOT 2 EOT 5 3EOT 8 EOT 3 EOT 6 EOT Electric Field (MV/cm) ITRS Franco, IEDM, 2010 No additional impact of HK/MG and FinFET transitions 25

26 SiGe Channel: NBTI Scaling Solution (Si)Ge CB Si VB SiO 2 HfO 2 Max. V G -V th for 10Y [V] T=125ºC RMG SiGe MIPS Ultra-Thin EOT T inv ( EOT+4Å) [Å] Franco et al, TED,

27 New Channel Materials Ge PMOS NBTI (SiO 2 / HfO 2 ) InAs NMOS PBTI Maximum V G -V th [V] (Si)Ge Si T inv [Å] T=125C Si baseline SiGe 55%, Tinv1 SiGe 55%,Tinv2 SiGe 45%, Tinv3 SiGe finfet r-ge (planar) s-ge (planar & finfet) ITRS Target Deora, TDMR 2013 Franco, TED, TDMR PBTI becomes the dominant degradation mechanism? But gate stack quality is critical

28 New Gate Stack Materials: SiO 2 IL Replacement by High-k IL Gate Dielectric TDDB SiO 2 /HfO 2 Al 2 O 3 /HfO 2 ITRS Sahoo and Oates, TDMR

29 Circuit Impact of BTI: SRAM Vcc,min Shift (+) 0 (-) 0 HK/MG Lin IRPS 2007 Vt RM = Read margin WM = Write margin PMOS (RM) NMOS (RM) NMOS (WM) PMOS (WM) (+) Vcc,min Drift Medium Values (mv) (+) 0 (-) RM Dominated RM+WM Mixed WM Dominated Alpha Ratio α ratio = I I on, PU on, PG Cell design is critical to minimize RM increase 29

30 Circuit Impact of NBTI: Digital Logic Probability % T0 (HTOL-Data) T1000 (HTOL-Data) T0 (HTOL-sim) T1000 (HTOL-sim) T10yrs (0.9V 100C-sim) Vdd=1.4V, 125 o C, 1000 hours Data (symbols) T1000 ~ Nil aging Simulation (lines) T1000 ~ Nil aging T10yrs ~ 1.65% Norm. Freq (a.u.) ARM11, 40nm Vaidyanathan IRPS 2011 Process variation can obscure aging 30

31 BTI is a Time-Dependent Variability Source σ( Vth) = K EOT µ ( Vth) A GOX σ( Vt) (mv) Scaling 31 1/(A GOX ) 0.5 (um -1 )

32 BTI Aging and Process Variation: New Definitions of Reliability Probability density w/o NBTI w/ NBTI point defined by 3σ or 99.9% probability of occurence fall-out Circuit/Device parameter Reliability can be defined in terms of fall-out from a process variability window 32

33 Variability and Degradation of Circuits Variability and NBTI Scaling Ring Osc. Fall-out NBTI Id, Freq V dd, 125C Transistor, DC Transistor, AC Ring Oscillator Process Variation Process variation Induced 3σ Id (a.u.) NBTI Induced delay (%) 1 Tech. node (a.u.) Tech. node (a.u.) Reliability fall-out improves with scaling 33

34 10000 SER per Mega-Devices 1000 SER Scaling Trends Alpha and Fast Neutrons SRAM FF MCU Probability SRAM Multi-bit 65nm wi DNW 45nm widnw 40nm widnw 45nm wodnw 28m wo DNW nm 90nm 65nm 40nm 28nm Technology MCU Cluster Size (um) DNW=Deep N Well Component trends are favorable - but system trends are not 34

35 Challenges: SER of Combinational Logic Fast Neutrons FF TSMC 40 nm R-OSC Comparator Above ~1 GHz, logic errors can dominate system SER 35

36 Virtual SER Qualification Assess logic circuit reliability Neutron SER per MFFs IROC TFIT: 40 nm Flip-Flops N40G Exp. TFIT Simulation Probability IROC TFIT: SRAM Multi-bits (b) p 100.0% Exp. 10.0% Sim. 1.0% 0.1% 100 FF A FF B FF C FF D FF E 0.0% Cell# Accurate ASIC cell and circuit EDA tools available 36

37 100 Challenge: Thermal Neutrons B 10 incorporation in BEOL of advanced nodes ( ) SRAM Symbols: exp. data Lines: model SER per Cell (A.U.) 10 Alpha Thermal neutron 1 65nm 40nm 28nm 20nm Fang, TDMR 2013 Negligible < 28 nm 37

38 Challenges: Muon SER A New Issue Muons are the most abundant high energy cosmic ray particle Sierawski IRPS Ibe, IOLTS 2012

39 Some Good News: FinFET SER Horizontal Strike G D S D STI Ion track Bulk substrate Bulk substrate G Vertical Strike S STI Drain Voltage(V) LET=10 LET=20 LET=40 LET=60 Unit: MeVcm 2 /mg Time(ps) Space environment only Drain Voltage (V) LET=5 0.6 LET=10 LET= LET= Time(s) 39 FinFET shows reduced charge collection in the terrestrial environment

40 FinFET SER Charge Collection Simulations T-SRAM SER Trend 100 FIT/Mbit 10 1 Alpha Neutron G 28HP 20SOC 16FF Fast neutrons dominate 40

41 SER with III-V Channels SRAM Simulations FF Liu, TDMR Increased SER (V dd > 0.5V)

42 Conclusions Reliability progress/containment has enabled Moore s law to continue to work its magic But clearly, technology scaling is accompanied by a shrinking of reliability margins The reliability challenges to be overcome cover the entire spectrum of known issues Holistic approaches will ensure that reliability does not limit the pace of technology progression 42

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Breakdown Characterization

Breakdown Characterization An Array-Based Test Circuit it for Fully Automated Gate Dielectric Breakdown Characterization John Keane, Shrinivas Venkatraman, Paulo Butzen*, and Chris H. Kim *State University of Rio Grande do Sul,

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

for Leading Edge CMOS and SiGe Technologies

for Leading Edge CMOS and SiGe Technologies 1 Reliability IBM Challenges Systems and Technology and Qualification Group - SRDC Methodology for Leading Edge CMOS and SiGe Technologies Fernando Guarín Ph.D IEEE Fellow Semiconductor Research & Development

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Reliability Testing. Process-Related Reliability Tests. Quality and Reliability Report. Non-Volatile Memory Cycling Endurance

Reliability Testing. Process-Related Reliability Tests. Quality and Reliability Report. Non-Volatile Memory Cycling Endurance Reliability Testing The purpose of reliability testing is to ensure that products are properly designed and assembled by subjecting them to stress conditions that accelerate potential failure mechanisms.

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University.

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. Homework 6: Gate Dielectric Breakdown Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. In Lectures 21-26, we have discussed how thin-oxides break. Three

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

SOFT-ERROR SUSCEPTIBILITY OF FinFET SRAMs

SOFT-ERROR SUSCEPTIBILITY OF FinFET SRAMs 16 EDFAAO (2016) 2:16-27 1537-0755/$19.00 ASM International SOFT-ERROR SUSCEPTIBILITY OF FinFET SRAMs INTRODUCTION Anthony S. Oates and Yi-Pin Fang, TSMC Ltd., Hsinchu, Taiwan aoates@tsmc.com For technology

More information

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct EE 292L : Nanomanufacturing Week 5: Advanced Process Technology Oct 22 2012 1 Advanced Process Technology 1 HAR etch 2 3 HAR Gapfill Metal ALD 4 Reflow 5 6 SAC Airgap 7 8 Strain Ge/III-V Engineering 1

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 12 VLSI II 2005-2-24 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last Time: Device

More information

Graphene for future VLSI

Graphene for future VLSI Graphene for future VLSI greg.yeric@arm.com Fellow ARM Research Why did the semiconductor industry get so excited about graphene? 2 The problem with planar MOSFETs Gate Source Drain Substrate One-dimensional

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

Interconnects and Reliability

Interconnects and Reliability Interconnects and Reliability Sandip Tiwari st222@cornell.edu Logic Interconnects SRAM: IBM J. R&D (1995) Insulators/Reliability 1 Prologue Global Middle Local 2 Interconnects Fringing & Coupling Capacitances

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Quantum-size effects in sub-10 nm fin width InGaAs finfets Quantum-size effects in sub-10 nm fin width InGaAs finfets Alon Vardi, Xin Zhao, and Jesús A. del Alamo Microsystems Technology Laboratories, MIT December 9, 2015 Sponsors: DTRA NSF (E3S STC) Northrop

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

ENABLEMENT OF COMPACT MODELS FOR

ENABLEMENT OF COMPACT MODELS FOR ENABLEMENT OF COMPACT MODELS FOR ULTRA-SCALED CMOS TECHNOLOGIES D. YAKIMETS, P. SCHUDDINCK, D. JANG, M. GARCIA BARDON, N. SHARAN, B. PARVAIS*, P. RAGHAVAN, AND A. MOCUTA IMEC, KAPELDREEF 75, 3001 LEUVEN,

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

The Physics of Soft-Breakdown and its Implications for Integrated Circuits

The Physics of Soft-Breakdown and its Implications for Integrated Circuits The Physics of Soft-Breakdown and its Implications for Integrated Circuits Muhammad Ashraful Alam in collaboration with B. Weir, P. Silverman, and R. K. Smith Agere Systems, PA 18109 What is Soft-Breakdown

More information

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Alex Guo and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Cambridge, MA, USA Sponsor:

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Modeling Random Variability of 16nm Bulk FinFETs

Modeling Random Variability of 16nm Bulk FinFETs Modeling Random Variability of 16nm Bulk FinFETs Victor Moroz, Qiang Lu, and Munkang Choi September 9, 2010 1 Outline 2 Outline 3 16nm Bulk FinFETs for 16nm Node Simulation domain 24nm fin pitch 56nm gate

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design & Logical Effort Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices Zhiping Yu and Jinyu Zhang Institute of Microelectronics Tsinghua University, Beijing, China yuzhip@tsinghua.edu.cn

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #3: CMOS Inverters MOS Scaling Rajeevan Amirtharajah University of California, Davis Jeff Parhurst Intel Corporation Outline Review: Inverter Transfer Characteristics Lecture 3: Noise Margins,

More information

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology EECS240 Spring 2013 Lecture 2: CMOS Technology and Passive Devices Lingkai Kong EECS Today s Lecture EE240 CMOS Technology Passive devices Motivation Resistors Capacitors (Inductors) Next time: MOS transistor

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

Extending the Era of Moore s Law

Extending the Era of Moore s Law 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 49 (2009) 642 649 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Impacts of NBTI and PBTI on SRAM

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

InGaAs Double-Gate Fin-Sidewall MOSFET

InGaAs Double-Gate Fin-Sidewall MOSFET InGaAs Double-Gate Fin-Sidewall MOSFET Alon Vardi, Xin Zhao and Jesús del Alamo Microsystems Technology Laboratories, MIT June 25, 214 Sponsors: Sematech, Technion-MIT Fellowship, and NSF E3S Center (#939514)

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The July 30, 2002 1 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Signature: EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Robert Dick Show your work. Derivations are required for credit; end results are insufficient. Closed book. You may use

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor Future Trends in Microelectronics Impact on Detector Readout Paul O Connor Outline CMOS Technology Scaling Analog Circuits Radiation Effects Cost Detector Development Symposium Paul O'Connor BNL April

More information

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability A. Asenov 1,2, E. A. Towie 1!! 1 Gold Standard Simulations Ltd 2 Glasgow University! Summary!! Introduction!! FinFET complexity

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information