Chapter # 4: Programmable and Steering Logic

Size: px
Start display at page:

Download "Chapter # 4: Programmable and Steering Logic"

Transcription

1 hapter # : Programmable and teering Logic ontemporary Logic esign Randy H. Katz University of alifornia, erkeley June 993 No. - PLs and PLs Pre-fabricated building block of many N/OR gates (or NOR, NN) "Personalized" by making or breaking connections among the gates Programmable rray lock iagram for um of Products Form Inputs ense array of N gates Product terms ense array of OR gates Outputs No. -

2 Key to uccess: hared Product Terms Example: F = + ' ' F = ' + F = ' ' + F3 = ' + Personality Matrix Product t erm Inputs Outputs F F F F 3 Reuse of t erms Input ide: = asserted in term = negated in term - = does not participate Output ide: = term connected to output = no connection to output No. -3 Example ontinued ll possible connections are available before programming No. -

3 Example ontinued Unwanted connections are "blown" Note: some array structures work by making connections rather than breaking them No. - lternative representation for high fan-in structures hort-hand notation so we don't have to draw all the wires! Notation for implementing F = + ' F = ' + ' No. -6

4 esign Example Multiple functions of,, F = F = + + F3 = F = + + F = xor xor F6 = xnor xnor F F F3 F F F6 No. -7 What is difference between Programmable rray Logic (PL) and Programmable Logic rray (PL)? PL concept implemented by Monolithic Memories constrained topology of the OR rray given column of the OR array has access to only a subset of the possible product terms PL concept generalized topologies in N and OR planes No. -8

5 esign Example: to Gray ode onverter W Y K-map for W K-map for W = + + = Y = + K-map for Y K-map for = ''' + + ' + ' ' No. -9 Programmed PL: product terms per each OR gate No. -

6 ode onverter iscrete Gate Implementation \ \ 3 W \ \ \ 3 \ \ 3 \ \ Y : 7 hex inverters,: 7 quad -input NN 3: 7 t ri 3-input NN : 7 dual -input NN I Packages vs. PL/PL Package! No. - nother Example: Magnitude omparator K-map for EQ K-map for NE K-map for L T K-map for GT EQ NE LT GT No. -

7 Non-Gate Logic Introduction N-OR-Invert PL/PL Generalized uilding locks eyond imple Gates Kinds of "Non-gate logic": switching circuits built from MO transmission gates multiplexer/selecter functions decoders tri-state and open collector gates read-only memories No. -3 election Function/emultiplexer Function with Transmission Gates elector: hoose I if = hoose I if = I I emultiplexer: I to if = I to if = I No. -

8 Well-formed witching Networks Problem with the emux implementation: multiple outputs, but only one connected to the input! I "" "" The fix: additional logic to drive every output to a known value Never allow outputs to "float" No. - Multiplexers/electors Multi-point connections Multiple input sources a MU MU b um s EMU Multiple output destinations No. -6

9 General oncept n data inputs, n control inputs, output n used to connect points to a single point control signal pattern form binary index of input connected to output = ' I + I Functional form Logical form I I I I Two alternative forms for a : Mux Truth Table No. -7 I I : mux = ' I + I I I I I 3 : mux = ' ' I + ' I + ' I + I3 I I I I 3 I I I 6 I 7 8: mux = ' ' ' I + ' ' I + ' ' I + ' I3 + ' ' I + ' I + ' I6 + I7 n - In general, = m I k= k k n in minterm shorthand form for a : Mux No. -8

10 lternative Implementations I I I I3 Gate Level Implementation of of : : Mux thirty six transistors Transmission Gate Implementation of of : : Mux twenty transistors No. -9 I I I I 3 I I I 6 I 7 Large multiplexers can be implemented by cascaded smaller ones : mux 3 : mux 3 8: mux : mux lternative 8: Mux Implementation ontrol signals and simultaneously choose one of I-I3 and I-I7 ontrol signal chooses which of the upper or lower MU's output to gate to I I I I 3 I I 3 I 6 I 7 No. -

11 Multiplexers/selectors as a general purpose logic block n- : multiplexer can implement any function of n variables n- control variables; remaining variable is a data input to the mux Example: F(,,) = m + m + m6 + m7 = ' ' ' + ' ' + ' + = ' ' (') + ' (') + ' () + () 3 8: MU 6 7 F "Lookup Table" F 3 : MU No. - F Generalization n- Mux control variables I I I n F I n I n single Mux data variable Four possible configurations of the truth table rows an be expressed as a function of In,, No. -

12 Example: G(,,,) can be implemented by an 8: MU: K-map hoose,, as control variables : mux G Multiplexer Implementation TTL package efficient May be be gate inefficient No. -3 ecoders/emultiplexers ecoder: single data input, n control inputs, outputs - control inputs (called select ) represent inary index of output to which the input is connected - data input usually called "enable" (G) n : ecoder: O = G ; O = G : ecoder: O = G O = G O = G O3 = G 3:8 ecoder: O = G O = G O = G O3 = G O = G O = G O6 = G O7 = G No. -

13 lternative Implementations G elect Output /G elect Output Output Output : ecoder, ctive High Enable : ecoder, ctive Low Enable G Output /G Output Output Output Output Output Output3 Output3 elect elect elect elect : ecoder, ctive High Enable : ecoder, ctive Low Enable No. - witch Logic Implementations elect G elect elect elect elect Output Output Naive, Incorrect Implementation ll outputs not driven at all times G "" "" elect elect elect elect elect elect Output Output elect orrect : ecoder Implementation No. -6

14 witch Implementation of : ecoder elect elect G Output "" "" G Output "" "" Operation of : ecoder =, = one straight thru path three diagonal paths G Output "" "" G Output 3 "" "" No. -7 ecoder as a Logic uilding lock Enb 3:8 dec ecoder Generates ppropriate Minterm based on ontrol ignals Example Function: F = ' ' + ' ' + F = ' ' + F3 = (' + ' + ' + ') No. -8

15 ecoder/emultiplexer ecoder as a Logic uilding lock Enb :6 dec F 3 F F If active low enable, then use NN gates! No. -9 Multiplexers/ecoders lternative Implementations of 3: Mux EN I3 7 EN 6 I3 7 Y 3 6 EN W 6 I Y EN 6 W I7 7 Y 3 I6 6 W 6 I 9 I 3 Y I3 3 W 6 I 9 I I E Multiplexer Only G Y Y 9 G O F(,,,, E) G Y Y 6 EN 3 Y I3 7 3 Y 7 6 ENI GY3 9 I3 I 3 Y Y 7 I3 3 Y EN Y 9 W 6 I I I 7I I 3Y I 7 I3 3 EN 6 9 W 6 I I I 3 I7 7I Y I I6 6I3 3 E 9 W 6 I I I I I 3 Y I3 3 E 9 W 6 I I I E E Multiplexer + ecoder F(,,,, E) No. -3

16 Multiplexers/ecoders :3 ecoder \EN 3 G Y3 39 Y Y Y G Y3 Y Y Y G G G 38 Y7 Y6 Y Y Y3 Y Y Y \Y3 \Y3 \Y9 \Y8 \Y7 \Y6 \Y \Y \EN \Y3 :3 ecoder ubsystem... G G G Y7 Y6 Y Y 38 Y3 Y Y Y \Y3 \Y \Y \Y \Y9 \Y8 \Y7 \Y6 \Y 3 G Y7 G Y6 G Y 38 Y Y3 Y Y Y \Y \Y \Y3 \Y \Y \Y \Y9 \Y8 G Y7 G Y6 G Y 38 Y Y3 Y Y Y \Y7 \Y6 \Y \Y \Y3 \Y \Y \Y No. -3 Tri-tate and Open-ollector The Third tate Logic tates: "", "" on't are/on't Know tate: "" (must be some value in real circuit!) Third tate: "" high impedance infinite resistance, no connection Tri-state gates: output values are "", "", and "" additional input: output enable (OE) OE F When OE is high, this gate is a non-inverting "buffer" When OE is low, it is as though the gate was disconnected from the output! This allows more than one gate to be connected to the same output wire, as long as only one has its output enabled at the same time Non-inverting buffer's timing waveform OE F "" "" No. -3

17 Tri-state and Open ollector Using tri-state gates to implement an economical multiplexer: Input F Input OE OE When electinput is asserted high Input is connected to F When electinput is driven low Input is connected to F This is essentially a : Mux electinput No. -33 Tri-state and Open ollector lternative Tri-state Fragment Input OE F ctive low tri-state enables plus inverting tri-state buffers Input OE electinput I F OE witch Level Implementation of tri-state gate No. -3

18 Tri-tate and Open ollector : Multiplexer, Revisited \EN G Y3 39 Y Y 3 Y G Y3 3 Y Y Y ecoder + tri-state Gates No. -3 Tri-tate and Open ollector Open ollector another way to connect multiple gates to the same output wire gate only has the ability to pull its output low; it cannot actively drive the wire high this is done by pulling the wire up to a logic voltage through a resistor Open-collector NN gate V Pull-up resistor + V F O NN gates Wired N: If and are "", output is actively pulled low if and are "", output is actively pulled low if one gate is low, the other high, then low wins if both gates are "", the output floats, pulled high by resistor Hence, the two NN functions are N'd together! No. -36

19 Tri-tate and Open ollector : Multiplexer \EN G Y3 39 Y 3 Y Y 7 6 \I3 OR +V F \I OR \I OR \I OR ecoder + Open ollector Gates No. -37 Read-Only Memories ROM: Two dimensional array of 's and 's Row is called a "word"; index is called an "address" Width of row is called bit-width or wordsize ddress is input, selected word is output +V +V +V +V n - ec i j Word Line Word Line n- ddress it Lines Internal Organization No. -38

20 Read-Only Memories Example: ombination Logic Implementation F = ' ' + ' ' + ' F = ' ' + ' ' + F = ' ' ' + ' ' + ' ' F3 = ' + ' ' + ' ddress ROM 8 w ords by bits F F F F 3 W ord ontents F F F F 3 address outputs No. -39 Read-Only Memories Not Not unlike a PL PL structure with with a fully fully decoded N N array! array! ecoder n word lines Memory array n words by m bits n address lines m output lines ROM vs. PL: ROM approach advantageous when () design time is short (no need to minimize output functions) () most input combinations are needed (e.g., code converters) (3) little sharing of product terms among output functions ROM problem: size doubles for each additional input, can't use don't cares PL approach advantangeous when () design tool like espresso is available () there are relatively few unique minterm combinations (3) many minterms are shared among the output functions PL problem: constrained fan-ins on OR planes No. -

21 Read-Only Memories 76 EPROM 8K x 8 76 VPP PGM O7 9 O6 8 O 7 O 6 O3 O O 3 O OE 6K x 6 ubsystem 3 /OE : :8 7: 76 VPP + PGM + O7 9 8 O6 O 7 O 6 O3 O O 3 O U3 OE + 76 VPP PGM O7 9 O6 8 O 7 O 6 O3 O O 3 O U OE + 76 VPP PGM O7 9 O6 8 O 7 O 6 O3 O O 3 O U OE 76 VPP PGM 9 O7 8 O6 7 O 6 O O3 O 3 O O U OE No. - ombinational Logic Word Problems General esign Procedure. Understand the Problem what is the circuit supposed to do? write down inputs (data, control) and outputs draw block diagram or other picture. Formulate the Problem in terms of a truth table or other suitable design representation truth table or waveform diagram 3. hoose Implementation Target ROM, PL, PL, Mux, ecoder + OR, iscrete Gates. Follow Implementation Procedure K-maps, espresso, misii No. -

22 ombinational Logic Word Problems Process Line ontrol Problem tatement of the Problem Rods of varying length (+/-%) travel on conveyor belt Mechanical arm pushes rods within spec (+/-%) to one side econd arm pushes rods too long to other side Rods too short stay on belt 3 light barriers (light source + photocell) as sensors esign combinational logic to activate the arms Understanding the Problem Inputs are three sensors, outputs are two arm control signals ssume sensor reads "" when tripped, "" otherwise all sensors,, raw a picture! No. -3 ombinational Logic Word Problems Process ontrol Problem +% RO Too Long + % pec RO Within pec + % pec - % RO Too hort pec - % - % Where to place the light sensors,, and to distinguish among the three cases? ssume that detects the leading edge of the rod on the conveyor No. -

23 ombinational Logic Word Problems Process ontrol Problem Too Long Within pec Too hort pectification - % pecification + % to distance place apart at specification - % to distance placed apart at specification +% No. - ombinational Logic Word Problems Process ontrol Problem Function too short in spec too long Truth table and logic implementation now straightforward "too long" = (all three sensors tripped) "in spec" = ' (first two sensors tripped) No. -6

24 ombinational Logic Word Problems to 7 egment isplay ontroller Understanding the problem: input is a bit bcd digit output is the control signals for the display inputs,,, 7 outputs 6 7-egment display to-7-segment control signal decoder 3 6 lock iagram No. -7 ombinational Logic Word Problems to 7 egment isplay ontroller Formulate the problem in terms of a truth table hoose implementation target: if ROM, we are done don't cares imply PL/PL may be attractive Follow implementation procedure: hand reduced K-maps vs. espresso No. -8

25 ombinational Logic Word Problems to 7 egment isplay ontroller K-map for K-map for K-map for K-map for 3 = ' ' = + ' ' + + ' = + + ' + Unique Product Terms K-map for K-map for 3 = ' ' + ' + ' + ' = ' ' + = + ' ' + ' + ' 6 = + ' + ' + ' K-map for 6 No. -9 ombinational Logic Word Problems Increment to 7 egment isplay ontroller First 3 fuse numbers H8PL an Implement the function Note: Fuse number = first fuse number + increment No. -

26 ombinational Logic Word Problems to 7 egment isplay ontroller Increment First fuse numbers H8PL annot Implement the function Note: Fuse number = first fuse number + increment No. - ombinational Logic Word Problems to 7 egment isplay ontroller PL Implementation No. -

27 ombinational Logic Word Problems to7 egment isplay ontroller Multilevel Implementation = ' + ' Y = ' ' = 3 + ' ' + Y = Y + ' ' + ' ' 6 = + ' ' + ' 3 = + + ' ' ' literals 33 gates Ineffective use of don't cares = ' Y + ' ' = ' + Y + ' 6 = + + ' + ' ' No. -3 ombinational Logic Word Problems Logical Function Unit tatement of the Problem: 3 control inputs:,, data inputs:, output: F No. -

28 ombinational Logic Word Problems Logical Function Unit Formulate as a truth table hoose implementation technology -variable K-map espresso multiplexor implementation TTL packages: x -input NN x -input NOR x -input OR 8: MU + V E N 3 Q O F 6 7 No. - ombinational Logic Word Problems Logical Function Unit Follow implementation procedure = = F = ' ' ' + ' ' + ' ' + ' gates, inverters lso four packages: x 3-input NN x -input NN lternative: 3 x -bit ROM single package No. -6

29 evice - st evice - nd evice - 3rd evice - th evice evice R O R O evice R O Priority Multiplexer R O evice OUTPUT The Priority Mux connects a device output (O, O, O, O) to the output line (OUTPUT) based on priorities. Each device has a request line (R, R, R, R) that is asserted when the system output line is requested by that device. The Mux must return a signal (,,, ) to each device indicating whether the request was accepted. These signals should be asserted only if a request has been received from the device and the device output has been connected to the system output. No Level Priority Encoder Enable 7 Inactive 6 Inputs 7-LPE 3 M L The output of this Priority Encoder represent the binary code of the highest asserted input at any point in time. The Inactive output indicates when no input is asserted. No. -8

30 hapter Review Non-imple Gate Logic uilding locks: PLs/PLs Multiplexers/electers ecoders ROMs Tri-state, Open ollector ombinational Word Problems: Understand the Problem Formulate in terms of a Truth Table hoose implementation technology Implement by following the design procedure No. -9

Chapter # 4: Programmable and Steering Logic

Chapter # 4: Programmable and Steering Logic hapter Overview PLs and PLs hapter # : Programmable and teering Logic Non-Gate Logic witch Logic Multiplexers/electers and ecoders Tri-tate Gates/Open ollector Gates ROM ombinational Logic esign Problems

More information

Ch 4. Combinational Logic Technologies. IV - Combinational Logic Technologies Contemporary Logic Design 1

Ch 4. Combinational Logic Technologies. IV - Combinational Logic Technologies Contemporary Logic Design 1 h 4. ombinational Logic Technologies Technologies ontemporary Logic Design 1 History - From Switches to Integrated ircuits The underlying implementation technologies for digital systems Technologies ontemporary

More information

Overview. Programmable logic (PLAs & PALs ) Short-hand notation. Programming the wire connections

Overview. Programmable logic (PLAs & PALs ) Short-hand notation. Programming the wire connections Overview Programmable logic (PLs & PLs ) Last lecture "Switching-network" logic blocks Multiplexers/selectors emultiplexers/decoders Programmable logic devices (PLs) Regular structures for 2-level logic

More information

:3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 "

:3 2 D e c o de r S ubs ys te m 0  One 1  Ze ro 0  0  One I 1 0  One 1  Ze ro 1  Ze ro 0  0 dvanced igital Logic esign EES 303 http://ziyang.eecs.northwestern.edu/eecs303/ 5:32 decoder/demultiplexer Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 \EN 5:32

More information

Software Engineering 2DA4. Slides 8: Multiplexors and More

Software Engineering 2DA4. Slides 8: Multiplexors and More Software Engineering 2DA4 Slides 8: Multiplexors and More Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital Logic

More information

Logic Design Combinational Circuits. Digital Computer Design

Logic Design Combinational Circuits. Digital Computer Design Logic Design Combinational Circuits Digital Computer Design Topics Combinational Logic Karnaugh Maps Combinational uilding locks Timing 2 Logic Circuit logic circuit is composed of: Inputs Outputs Functional

More information

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation Outline Logic ircuits Introduction Logic Systems TTL MOS Logic Gates NOT, OR, N NOR, NN, XOR Implementation oolean lgebra ombinatorial ircuits Multipleer emultipleer rithmetic ircuits Simplifying Logic

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Mohammed Anvar P.K AP/ECE Al-Ameen Engineering College PLDs Programmable Logic Devices (PLD) General purpose chip for implementing circuits Can be customized using programmable

More information

Combinational Logic (mostly review!)

Combinational Logic (mostly review!) ombinational Logic (mostly review!)! Logic functions, truth tables, and switches " NOT, N, OR, NN, NOR, OR,... " Minimal set! xioms and theorems of oolean algebra " Proofs by re-writing " Proofs by perfect

More information

SRC Language Conventions. Class 6: Intro to SRC Simulator Register Transfers and Logic Circuits. SRC Simulator Demo. cond_br.asm.

SRC Language Conventions. Class 6: Intro to SRC Simulator Register Transfers and Logic Circuits. SRC Simulator Demo. cond_br.asm. Fall 2006 S333: omputer rchitecture University of Virginia omputer Science Michele o SR Language onventions lass 6: Intro to SR Simulator Register Transfers and Logic ircuits hapter 2, ppendix.5 2 SR Simulator

More information

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego CSE4: Components and Design Techniques for Digital Systems Logic minimization algorithm summary Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing & Dr.Pietro Mercati Definition

More information

MODULAR CIRCUITS CHAPTER 7

MODULAR CIRCUITS CHAPTER 7 CHAPTER 7 MODULAR CIRCUITS A modular circuit is a digital circuit that performs a specific function or has certain usage. The modular circuits to be introduced in this chapter are decoders, encoders, multiplexers,

More information

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid SE140: omponents and Design Techniques for Digital Systems Simplification of logic functions Tajana Simunic Rosing 1 What we covered thus far: Number representations Where we are now inary, Octal, Hex,

More information

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction hapter 2 Introduction igital esign and omputer rchitecture, 2 nd Edition avid Money Harris and Sarah L. Harris logic circuit is composed of: Inputs Outputs Functional specification Timing specification

More information

Working with combinational logic

Working with combinational logic Working with combinational logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and

More information

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1 Unit 9 Multiplexers, ecoders, and Programmable Logic evices Unit 9 Outline Multiplexers Three state buffers ecoders Encoders Read Only Memories (ROMs) Programmable logic devices ield Programmable Gate

More information

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY UNIT III Design of ombinational Logic ircuits Department of omputer Science SRM UNIVERSITY Introduction to ombinational ircuits Logic circuits for digital systems may be ombinational Sequential combinational

More information

Chapter 3 Combinational Logic Design

Chapter 3 Combinational Logic Design Logic and Computer Design Fundamentals Chapter 3 Combinational Logic Design Part 2 Combinational Logic Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Chapter # 3: Multi-Level Combinational Logic

Chapter # 3: Multi-Level Combinational Logic hapter # 3: Multi-Level ombinational Logic ontemporary Logic esign Randy H. Katz University of alifornia, erkeley June 993 No. 3- hapter Overview Multi-Level Logic onversion to NN-NN and - Networks emorgan's

More information

Possible logic functions of two variables

Possible logic functions of two variables ombinational logic asic logic oolean algebra, proofs by re-writing, proofs by perfect induction logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,..., minimal set Logic realization

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS OMINTIONL IRUITS pplications Parity Generation and heking Even Parity P e P e P P P P P P5 P P6 ( ) = m(,, ) =, Odd Parity P o P e Three-bit Parity Generator ( ) = m(,,5, ) P P P P P P5 P P6 = 6 Three-bit

More information

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR TOPIS: Logic Logic Expressions Logic Gates Simplifying Logic Expressions Sequential Logic (Logic with a Memory) George oole (85-864), English mathematician, oolean logic used in digital computers since

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 OMP2611: omputer Organization ombinational Logic OMP2611 Fall 2015 asics of Logic ircuits 2 its are the basis for binary number representation in digital computers ombining bits into patterns following

More information

Chapter #7: Sequential Logic Case Studies Contemporary Logic Design

Chapter #7: Sequential Logic Case Studies Contemporary Logic Design hapter #7: Sequential Logic ase Studies ontemporary Logic Design No. 7- Storage egister Group of storage elements read/written as a unit 4-bit register constructed from 4 D FFs Shared clock and clear lines

More information

Boolean Logic Continued Prof. James L. Frankel Harvard University

Boolean Logic Continued Prof. James L. Frankel Harvard University Boolean Logic Continued Prof. James L. Frankel Harvard University Version of 10:18 PM 5-Sep-2017 Copyright 2017, 2016 James L. Frankel. All rights reserved. D Latch D R S Clk D Clk R S X 0 ~S 0 = R 0 ~R

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101> Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer Architecture David Money Harris and

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010 Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer rchitecture David Money Harris and

More information

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both).

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both). hapter : oolean lgebra.) Definition of oolean lgebra The oolean algebra is named after George ool who developed this algebra (854) in order to analyze logical problems. n example to such problem is: Prove

More information

Basic Gate Repertoire

Basic Gate Repertoire asic Gate Repertoire re we sure we have all the gates we need? Just how many two-input gates are there? ND OR NND NOR SURGE Hmmmm all of these have 2-inputs (no surprise) each with 4 combinations, giving

More information

Function of Combinational Logic ENT263

Function of Combinational Logic ENT263 Function of Combinational Logic ENT263 Chapter Objectives Distinguish between half-adder and full-adder Use BCD-to-7-segment decoders in display systems Apply multiplexer in data selection Use decoders

More information

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life...

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life... Part 1: Digital Logic and Gates Analog vs Digital waveforms An analog signal assumes a continuous range of values: v(t) ANALOG A digital signal assumes discrete (isolated, separate) values Usually there

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

Working with Combinational Logic. Design example: 2x2-bit multiplier

Working with Combinational Logic. Design example: 2x2-bit multiplier Working with ombinational Logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and NORs

More information

Computer organization

Computer organization Computer organization Levels of abstraction Assembler Simulator Applications C C++ Java High-level language SOFTWARE add lw ori Assembly language Goal 0000 0001 0000 1001 0101 Machine instructions/data

More information

Unit 3 Session - 9 Data-Processing Circuits

Unit 3 Session - 9 Data-Processing Circuits Objectives Unit 3 Session - 9 Data-Processing Design of multiplexer circuits Discuss multiplexer applications Realization of higher order multiplexers using lower orders (multiplexer trees) Introduction

More information

Chapter 7: Digital Components. Oregon State University School of Electrical Engineering and Computer Science. Review basic digital design concepts:

Chapter 7: Digital Components. Oregon State University School of Electrical Engineering and Computer Science. Review basic digital design concepts: hapter 7: igital omponents Prof. en Lee Oregon tate University chool of Electrical Engineering and omputer cience hapter Goals Review basic digital design concepts: esigning basic digital components using

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 23 Digital Logic & Computer Organization Spring 28 Combinational Building Blocks Lecture 5: Announcements Lab 2 prelab due tomorrow HW due Friday HW 2 to be posted on Thursday Lecture 4 to be replayed

More information

EECS Variable Logic Functions

EECS Variable Logic Functions EECS150 Section 1 Introduction to Combinational Logic Fall 2001 2-Variable Logic Functions There are 16 possible functions of 2 input variables: in general, there are 2**(2**n) functions of n inputs X

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

Switching Circuits & Logic Design

Switching Circuits & Logic Design Switching ircuits & Logic esign Jieong Roland Jiang 江介宏 epartment of lectrical ngineering National Taiwan University Fall 22 6 Sequential ircuit esign homsky ierarchy http://www.cs.lmu.edu/~ray/notes/languagetheory/

More information

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3 . What is a multiplexer? esign a 4 to multiplexer using logic gates. Write the truth table and explain its working principle. Answer: is a circuit with many inputs but only one output. esigning of 4 to

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Decoders and Encoders CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Digital Logic

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Digital Logic Computer Science 324 Computer Architecture Mount Holyoke College Fall 2007 Topic Notes: Digital Logic Our goal for the next few weeks is to paint a a reasonably complete picture of how we can go from transistor

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

Lecture A: Logic Design and Gates

Lecture A: Logic Design and Gates Lecture A: Logic Design and Gates Syllabus My office hours 9.15-10.35am T,Th or gchoi@ece.tamu.edu 333G WERC Text: Brown and Vranesic Fundamentals of Digital Logic,» Buy it.. Or borrow it» Other book:

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

Appendix A: Digital Logic. CPSC 352- Computer Organization

Appendix A: Digital Logic. CPSC 352- Computer Organization - CPSC 352- Computer Organization -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties of oolean lgebra.6 The Sum-of-Products Form, and Logic Diagrams.7 The

More information

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times.

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times. S.E. Sem. III [ETRX] Digital ircuit Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80. Solve following : [20].(a) Explain characteristics of logic families. [5] haracteristics of logic families are

More information

Section 1A. Introduction & Basic Principles. Engineering Areas

Section 1A. Introduction & Basic Principles. Engineering Areas ection 1 Introduction & asic Principles Engineering Measurements Engineering reas Research & Development Process Control Fabrication Manufacturing ervice & Maintenance Engineering Measurements 1 2 Engineering

More information

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Law Combinational

More information

COE 202: Digital Logic Design Combinational Circuits Part 4. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Combinational Circuits Part 4. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Combinational Circuits Part 4 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Magnitude comparator Design of 4-bit magnitude comparator

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions.

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions. Combinational logic Possible logic functions of two variables Logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,... Minimal set xioms and theorems of oolean algebra Proofs by re-writing

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions ombinational logic Possible logic functions of two variables asic logic oolean algebra, proofs by re-writing, proofs by perfect induction Logic functions, truth tables, and switches NOT, N, OR, NN,, OR,...,

More information

Problem Set 4 Solutions

Problem Set 4 Solutions SE 26 igital omputers: Organization and Logical esign Jon Turner Problem Set 4 Solutions 1. Find a minimal logic expression for the NN/NOR circuit shown below. The circuit implements the expression ((()

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Multiplexers Decoders ROMs (LUTs) Page 1

Multiplexers Decoders ROMs (LUTs) Page 1 Multiplexers Decoders ROMs (LUTs) Page A Problem Statement Design a circuit which will select between two inputs (A and B) and pass the selected one to the output (Q). The desired circuit is called a multiplexer

More information

Chapter 1: Logic systems

Chapter 1: Logic systems Chapter 1: Logic systems 1: Logic gates Learning Objectives: At the end of this topic you should be able to: identify the symbols and truth tables for the following logic gates: NOT AND NAND OR NOR XOR

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

Learning Objectives. Boolean Algebra. In this chapter you will learn about:

Learning Objectives. Boolean Algebra. In this chapter you will learn about: Ref. Page Slide /78 Learning Objectives In this chapter you will learn about: oolean algebra Fundamental concepts and basic laws of oolean algebra oolean function and minimization Logic gates Logic circuits

More information

Chapter 3 Ctd: Combinational Functions and Circuits

Chapter 3 Ctd: Combinational Functions and Circuits Chapter 3 Ctd: Combinational Functions and Circuits 1 Value Fixing, Transferring, and Inverting Four different functions are possible as a function of single Boolean variable Transferring Inverting Value

More information

Boolean cubes EECS150. Mapping truth tables onto cubes. Simplification. The Uniting Theorem. Three variable example

Boolean cubes EECS150. Mapping truth tables onto cubes. Simplification. The Uniting Theorem. Three variable example EES5 Section 5 Simplification and State Minimization Fall 2 -cube X oolean cubes Visual technique for indentifying when the uniting theorem can be applied n input variables = n-dimensional "cube" Y 2-cube

More information

COMBINATIONAL LOGIC CIRCUITS. Dr. Mudathir A. Fagiri

COMBINATIONAL LOGIC CIRCUITS. Dr. Mudathir A. Fagiri COMBINATIONAL LOGIC CIRCUITS Dr. Mudathir A. Fagiri Standard Combinational Modules Decoder: Decode address Encoder: Encode address Multiplexer (Mux): Select data by address Demultiplexier (DeMux): Direct

More information

EE 330 Lecture 6. Improved Switch-Level Model Propagation Delay Stick Diagrams Technology Files

EE 330 Lecture 6. Improved Switch-Level Model Propagation Delay Stick Diagrams Technology Files EE 330 Lecture 6 Improved witch-level Model Propagation elay tick iagrams Technology Files Review from Last Time MO Transistor Qualitative iscussion of n-channel Operation Bulk ource Gate rain rain G Gate

More information

Chapter 4: Designing Combinational Systems Uchechukwu Ofoegbu

Chapter 4: Designing Combinational Systems Uchechukwu Ofoegbu Chapter 4: Designing Combinational Systems Uchechukwu Ofoegbu Temple University Gate Delay ((1.1).1) ((1.0).0) ((0.1).1) ((0.1).0) ((1.1) = 1 0 s = sum c out carry-out a, b = added bits C = carry in a

More information

Slides for Lecture 19

Slides for Lecture 19 Slides for Lecture 19 ENEL 353: Digital Circuits Fall 2013 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary 23 October, 2013 ENEL 353

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in

More information

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1 Ch 2. Combinational Logic II - Combinational Logic Contemporary Logic Design 1 Combinational logic Define The kind of digital system whose output behavior depends only on the current inputs memoryless:

More information

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B . (a). (b). (c) S.E. Sem. III [EXTC] igital Electronics Prelim Question Paper Solution ABC ABC ABC ABC ABC ABC ABC ABC = B LHS = ABC ABC ABC ABC ABC ABC ABC ABC But ( ) = = ABC( ) ABC( ) ABC( ) ABC( )

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective rithmetic ircuitsss dapted from hapter 11 of Digital Integrated ircuits Design Perspective Jan M. Rabaey et al. opyright 2003 Prentice Hall/Pearson 1 Generic Digital Processor MEMORY INPUT-OUTPUT ONTROL

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

Design of Combinational Logic

Design of Combinational Logic Pune Vidyarthi Griha s COLLEGE OF ENGINEERING, NASHIK 3. Design of Combinational Logic By Prof. Anand N. Gharu (Assistant Professor) PVGCOE Computer Dept.. 30 th June 2017 CONTENTS :- 1. Code Converter

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Overview Part 1 Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra

More information

CSE140: Components and Design Techniques for Digital Systems. Decoders, adders, comparators, multipliers and other ALU elements. Tajana Simunic Rosing

CSE140: Components and Design Techniques for Digital Systems. Decoders, adders, comparators, multipliers and other ALU elements. Tajana Simunic Rosing CSE4: Components and Design Techniques for Digital Systems Decoders, adders, comparators, multipliers and other ALU elements Tajana Simunic Rosing Mux, Demux Encoder, Decoder 2 Transmission Gate: Mux/Tristate

More information

CMSC 313 Lecture 19 Homework 4 Questions Combinational Logic Components Programmable Logic Arrays Introduction to Circuit Simplification

CMSC 313 Lecture 19 Homework 4 Questions Combinational Logic Components Programmable Logic Arrays Introduction to Circuit Simplification CMSC 33 Lecture 9 Homework 4 Questions Combinational Logic Components Programmable Logic rrays Introduction to Circuit Simplification UMC, CMSC33, Richard Chang CMSC 33, Computer Organization

More information

Chapter 2 Combinational logic

Chapter 2 Combinational logic Chapter 2 Combinational logic Chapter 2 is very easy. I presume you already took discrete mathemtics. The major part of chapter 2 is boolean algebra. II - Combinational Logic Copyright 24, Gaetano Borriello

More information

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan Combinational Logic Mantıksal Tasarım BBM23 section instructor: Ufuk Çelikcan Classification. Combinational no memory outputs depends on only the present inputs expressed by Boolean functions 2. Sequential

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and oolean lgebra) cknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of

More information

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2.

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2. Digital Circuits 1. Inputs & Outputs are quantized at two levels. 2. inary arithmetic, only digits are 0 & 1. Position indicates power of 2. 11001 = 2 4 + 2 3 + 0 + 0 +2 0 16 + 8 + 0 + 0 + 1 = 25 Digital

More information

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits Lec 10 Combinational CMOS Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic circuit Out In Combinational Logic circuit Out State Combinational The output is determined only by

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 5 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture A Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Laws

More information

Overview. Arithmetic circuits. Binary half adder. Binary full adder. Last lecture PLDs ROMs Tristates Design examples

Overview. Arithmetic circuits. Binary half adder. Binary full adder. Last lecture PLDs ROMs Tristates Design examples Overview rithmetic circuits Last lecture PLDs ROMs Tristates Design examples Today dders Ripple-carry Carry-lookahead Carry-select The conclusion of combinational logic!!! General-purpose building blocks

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

Every time has a value associated with it, not just some times. A variable can take on any value within a range

Every time has a value associated with it, not just some times. A variable can take on any value within a range Digital Logic Circuits Binary Logic and Gates Logic Simulation Boolean Algebra NAND/NOR and XOR gates Decoder fundamentals Half Adder, Full Adder, Ripple Carry Adder Analog vs Digital Analog Continuous»

More information

Lecture 4: Implementing Logic in CMOS

Lecture 4: Implementing Logic in CMOS Lecture 4: Implementing Logic in CMOS Mark Mcermott Electrical and Computer Engineering The University of Texas at ustin Review of emorgan s Theorem Recall that: () = + and = ( + ) (+) = and + = ( ) ()

More information

Unit 8A Computer Organization. Boolean Logic and Gates

Unit 8A Computer Organization. Boolean Logic and Gates Unit 8A Computer Organization Boolean Logic and Gates Announcements Bring ear buds or headphones to lab! 15110 Principles of Computing, Carnegie Mellon University - CORTINA 2 Representing and Manipulating

More information

Review: Additional Boolean operations

Review: Additional Boolean operations Review: Additional Boolean operations Operation: NAND (NOT-AND) NOR (NOT-OR) XOR (exclusive OR) Expressions: (xy) = x + y (x + y) = x y x y = x y + xy Truth table: x y (xy) x y (x+y) x y x y 0 0 1 0 1

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and oolean lgebra) cknowledgements: Lecture material adapted from Chapter 2 of R. Katz, G. orriello, Contemporary Logic Design (second edition), Pearson Education,

More information

3 Logic Function Realization with MSI Circuits

3 Logic Function Realization with MSI Circuits 3 Logic Function Realization with MSI Circuits Half adder A half-adder is a combinational circuit with two binary inputs (augund and addend bits) and two binary outputs (sum and carry bits). It adds the

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 17 Encoders and Decoders Overview Binary decoders Converts an n-bit code to a single active output Can be developed using AND/OR gates Can

More information