Combinational Logic (mostly review!)

Size: px
Start display at page:

Download "Combinational Logic (mostly review!)"

Transcription

1 ombinational Logic (mostly review!)! Logic functions, truth tables, and switches " NOT, N, OR, NN, NOR, OR,... " Minimal set! xioms and theorems of oolean algebra " Proofs by re-writing " Proofs by perfect induction! Gate logic " Networks of oolean functions " Time behavior! anonical forms " Two-level " Incompletely specified functions S 5 - Spring 27 Lec #2: ombinational Logic - Possible Logic Functions of Two Variables! 6 possible functions of 2 input variables: " 2**(2**n) functions of n inputs Y F Y 6 possible functions (F F5) and Y Y xor Y or Y = Y nor Y not ( or Y) not Y not nand Y not ( and Y) S 5 - Spring 27 Lec #2: ombinational Logic - 2

2 ost of ifferent Logic Functions! Some are easier, others harder, to implement " Each has a cost associated with the number of switches needed " (F) and (F5): require switches, directly connect output to low/high " (F3) and Y (F5): require switches, output is one of inputs " ' (F2) and Y' (F): require 2 switches for "inverter" or NOT-gate " nor Y (F4) and nand Y (F4): require 4 switches " or Y (F7) and and Y (F): require 6 switches " = Y (F9) and " Y (F6): require 6 switches " ecause NOT, NOR, and NN are the cheapest they are the functions we implement the most in practice S 5 - Spring 27 Lec #2: ombinational Logic - 3 Minimal Set of Functions! Implement any logic functions from NOT, NOR, and NN? " For example, implementing and Y is the same as implementing not ( nand Y)! o it with only NOR or only NN " NOT is just a NN or a NOR with both inputs tied together Y nor Y Y nand Y " and NN and NOR are "duals", i.e., easy to implement one using the other nand Y! not ( (not ) nor (not Y) ) nor Y! not ( (not ) nand (not Y) )! ased on the mathematical foundations of logic: oolean lgebra S 5 - Spring 27 Lec #2: ombinational Logic - 4

3 lgebraic Structure! onsists of " Set of elements " inary operations { +, } " Unary operation { ' } " Following axioms hold:. set contains at least two elements, a, b, such that a # b 2. closure: a + b is in a b is in 3. commutativity: a + b = b + a a b = b a 4. associativity: a + (b + c) = (a + b) + c a (b c) = (a b) c 5. Identity: a + = a a = a 6. distributivity: a + (b c) = (a + b) (a + c) a (b + c) = (a b) + (a c) 7. complementarity: a + a' = a a' = S 5 - Spring 27 Lec #2: ombinational Logic - 5 oolean lgebra! oolean algebra " = {, } " + is logical OR, is logical N " ' is logical NOT! ll algebraic axioms hold S 5 - Spring 27 Lec #2: ombinational Logic - 6

4 Logic Functions and oolean lgebra! ny logic function that can be expressed as a truth table can be written as an expression in oolean algebra using the operators: ', +, and Y!Y Y ' ' Y Y ' Y'!Y '!Y' (!Y ) + ( '!Y' ) (!Y ) + ( '!Y' )! =!Y, Y are oolean algebra variables S 5 - Spring 27 Lec #2: ombinational Logic - 7 oolean expression that is true when the variables and Y have the same value and false, otherwise xioms and Theorems of oolean lgebra! Identity. + =. =! Null 2. + = 2. =! Idempotency: 3. + = 3. =! Involution: 4. (')' =! omplementarity: 5. + ' = 5. ' =! ommutativity: 6. + Y = Y + 6. Y = Y! ssociativity: 7. ( + Y) + = + (Y + ) 7. ( Y) = (Y ) S 5 - Spring 27 Lec #2: ombinational Logic - 8

5 xioms and Theorems of oolean lgebra (cont d)! istributivity: 8. (Y + ) = ( Y) + ( ) 8. + (Y ) = ( + Y) ( + )! Uniting: 9. Y + Y' = 9. ( + Y) ( + Y') =! bsorption:. + Y =. ( + Y) =. ( + Y') Y = Y. ( Y') + Y = + Y! Factoring: 2. ( + Y) (' + ) = 2. Y + ' = + ' Y ( + ) (' + Y)! onsensus: 3. ( Y) + (Y ) + (' ) = 3. ( + Y) (Y + ) (' + ) = Y + ' ( + Y) (' + ) S 5 - Spring 27 Lec #2: ombinational Logic - 9 xioms and Theorems of oolean lgebra (cont d)! demorgan's: 4. ( + Y +...)' = ' Y' ( Y...)' = ' + Y' +...! Generalized de Morgan's: 5. f'(,2,...,n,,,+, ) = f(',2',...,n',,,,+)! Establishes relationship between and + S 5 - Spring 27 Lec #2: ombinational Logic -

6 xioms and Theorems of oolean lgebra (cont d)! uality " ual of a oolean expression is derived by replacing by +, + by, by, and by, and leaving variables unchanged " ny theorem that can be proven is thus also proven for its dual! " Meta-theorem (a theorem about theorems)! uality: 6. + Y +... $ Y...! Generalized duality: 7. f (,2,...,n,,,+, ) $ f(,2,...,n,,,,+)! ifferent than demorgan s Law " This is a statement about theorems " This is not a way to manipulate (re-write) expressions S 5 - Spring 27 Lec #2: ombinational Logic - Proving Theorems (Rewriting Method)! Using the axioms of oolean algebra: " e.g., prove the theorem: Y + Y' = distributivity (8)!Y +!Y' = (Y + Y') complementarity (5) (Y + Y') =!() identity ()!() =! " e.g., prove the theorem: + Y = identity () + Y = + Y distributivity (8) + Y = ( + Y) identity (2) ( + Y) =!() identity () () =! S 5 - Spring 27 Lec #2: ombinational Logic - 2

7 Proving Theorems (Perfect Induction)! Using perfect induction (complete truth table): " e.g., de Morgan's: ( + Y)' = ' Y' NOR is equivalent to N with inputs complemented ( Y)' = ' + Y' NN is equivalent to OR with inputs complemented Y ' Y' ( + Y)' ' Y' Y ' Y' ( Y)' ' + Y' S 5 - Spring 27 Lec #2: ombinational Logic - 3 Simple Example! -bit binary adder " Inputs:,, arry-in " Outputs: Sum, arry-out in S out in S out S = ' ' in + ' in' + ' in' + in out = ' in + ' in + in' + in S 5 - Spring 27 Lec #2: ombinational Logic - 4

8 pply the Theorems to Simplify Expressions! Theorems of oolean algebra can simplify oolean expressions " e.g., full adder's carry-out function (same rules apply to any function) out = ' in + ' in + in' + in = ' in + ' in + in' + in + in = ' in + in + ' in + in' + in = (' + ) in + ' in + in' + in = () in + ' in + in' + in = in + ' in + in' + in + in = in + ' in + in + in' + in = in + (' + ) in + in' + in = in + () in + in' + in = in + in + (in' + in) = in + in + () = in + in + S 5 - Spring 27 Lec #2: ombinational Logic - 5 From oolean Expressions to Logic Gates! NOT ' ~ Y Y! N Y Y % Y! OR + Y & Y Y Y Y Y S 5 - Spring 27 Lec #2: ombinational Logic - 6

9 From oolean Expressions to Logic Gates (cont d)! NN Y Y! NOR! OR " Y! NOR = Y Y Y Y Y Y Y xor Y = Y' + ' Y or Y but not both ("inequality", "difference") xnor Y = Y + ' Y' and Y are the same ("equality", "coincidence") S 5 - Spring 27 Lec #2: ombinational Logic - 7 From oolean Expressions to Logic Gates (cont d)! More than one way to map expressions to gates T2 " e.g., = ' ' ( + ) = (' (' ( + ))) T use of 3-input gate T T2 S 5 - Spring 27 Lec #2: ombinational Logic - 8

10 Waveform View of Logic Functions! Just a sideways truth table " ut note how edges don't line up exactly " It takes time for a gate to switch its output! time change in Y takes time to "propagate" through gates S 5 - Spring 27 Lec #2: ombinational Logic - 9 hoosing ifferent Realizations of a Function two-level realization (we don't count NOT gates) multi-level realization (gates with fewer inputs) OR gate (easier to draw but costlier to build) S 5 - Spring 27 Lec #2: ombinational Logic - 2

11 Which Realization is est?! Reduce number of inputs " literal: input variable (complemented or not) # can approximate cost of logic gate as 2 transistors per literal # why not count inverters? " Fewer literals means less transistors # smaller circuits " Fewer inputs implies faster gates # gates are smaller and thus also faster " Fan-ins (# of gate inputs) are limited in some technologies! Reduce number of gates " Fewer gates (and the packages they come in) means smaller circuits # directly influences manufacturing costs S 5 - Spring 27 Lec #2: ombinational Logic - 2 Which is the est Realization? (cont d)! Reduce number of levels of gates " Fewer level of gates implies reduced signal propagation delays " Minimum delay configuration typically requires more gates # wider, less deep circuits! How do we explore tradeoffs between increased circuit delay and size? " utomated tools to generate different solutions " Logic minimization: reduce number of gates and complexity " Logic optimization: reduction while trading off against delay S 5 - Spring 27 Lec #2: ombinational Logic - 22

12 re ll Realizations Equivalent?! Under the same inputs, the alternative implementations have almost the same waveform behavior " elays are different " Glitches (hazards) may arise " Variations due to differences in number of gate levels and structure! Three implementations are functionally equivalent S 5 - Spring 27 Lec #2: ombinational Logic - 23 Implementing oolean Functions! Technology independent " anonical forms " Two-level forms " Multi-level forms! Technology choices " Packages of a few gates " Regular logic " Two-level programmable logic " Multi-level programmable logic S 5 - Spring 27 Lec #2: ombinational Logic - 24

13 anonical Forms! Truth table is the unique signature of a oolean function! Many alternative gate realizations may have the same truth table! anonical forms " Standard forms for a oolean expression " Provides a unique algebraic signature S 5 - Spring 27 Lec #2: ombinational Logic - 25 Sum-of-Products anonical Forms! lso known as disjunctive normal form! lso known as minterm expansion F = F = ''+ ' + ' + ' + F F' F' = ''' + '' + '' S 5 - Spring 27 Lec #2: ombinational Logic - 26

14 Sum-of-Products anonical Form (cont d)! Product term (or minterm) " Ned product of literals input combination for which output is true " Each variable appears exactly once, in true or inverted form (but not both) minterms ''' m '' m '' m2 ' m3 '' m4 ' m5 ' m6 m7 short-hand notation for minterms of 3 variables F in canonical form: F(,, ) = 'm(,3,5,6,7) = m + m3 + m5 + m6 + m7 = '' + ' + ' + ' + canonical form # minimal form F(,, ) = '' + ' + ' + + ' = ('' + ' + ' + ) + ' = ((' + )(' + )) + ' = + ' = ' + = + S 5 - Spring 27 Lec #2: ombinational Logic - 27 Product-of-Sums anonical Form! lso known as conjunctive normal form! lso known as maxterm expansion F F' F = F = ( + + ) ( + ' + ) (' + + ) F' = ( + + ') ( + ' + ') (' + + ') (' + ' + ) (' + ' + ') S 5 - Spring 27 Lec #2: ombinational Logic - 28

15 Product-of-Sums anonical Form (cont d)! Sum term (or maxterm) " ORed sum of literals input combination for which output is false " Each variable appears exactly once, in true or inverted form (but not both) maxterms ++ M ++' M +'+ M2 +'+' M3 '++ M4 '++' M5 '+'+ M6 '+'+' M7 F in canonical form: F(,, ) = (M(,2,4) = M M2 M4 = ( + + ) ( + ' + ) (' + + ) canonical form # minimal form F(,, ) = ( + + ) ( + ' + ) (' + + ) = ( + + ) ( + ' + ) ( + + ) (' + + ) = ( + ) ( + ) short-hand notation for maxterms of 3 variables S 5 - Spring 27 Lec #2: ombinational Logic - 29 S-o-P, P-o-S, and demorgan s Theorem! Sum-of-products " F' = ''' + '' + ''! pply de Morgan's " (F')' = (''' + '' + '')' " F = ( + + ) ( + ' + ) (' + + )! Product-of-sums " F' = ( + + ') ( + ' + ') (' + + ') (' + ' + ) (' + ' + ')! pply de Morgan's " (F')' = ( ( + + ')( + ' + ')(' + + ')(' + ' + )(' + ' + ') )' " F = '' + ' + ' + ' + S 5 - Spring 27 Lec #2: ombinational Logic - 3

16 Four lternative Two-level Implementations of F = + F canonical sum-of-products minimized sum-of-products F2 F3 canonical product-of-sums F4 minimized product-of-sums S 5 - Spring 27 Lec #2: ombinational Logic - 3 Waveforms for the Four lternatives! Waveforms are essentially identical " Except for timing hazards (glitches) " elays almost identical (modeled as a delay per level, not type of gate or number of inputs to gate) S 5 - Spring 27 Lec #2: ombinational Logic - 32

17 Mapping etween anonical Forms! Minterm to maxterm conversion " Use maxterms whose indices do not appear in minterm expansion " e.g., F(,,) = 'm(,3,5,6,7) = (M(,2,4)! Maxterm to minterm conversion " Use minterms whose indices do not appear in maxterm expansion " e.g., F(,,) = (M(,2,4) = 'm(,3,5,6,7)! Minterm expansion of F to minterm expansion of F' " Use minterms whose indices do not appear " e.g., F(,,) = 'm(,3,5,6,7) F'(,,) = 'm(,2,4)! Maxterm expansion of F to maxterm expansion of F' " Use maxterms whose indices do not appear " e.g., F(,,) = (M(,2,4) F'(,,) = (M(,3,5,6,7) S 5 - Spring 27 Lec #2: ombinational Logic - 33 Incompletely Specified Functions! Example: binary coded decimal increment by " digits encode decimal digits 9 in bit patterns W Y off-set of W on-set of W don't care () set of W these inputs patterns should never be encountered in practice "don't care" about associated output values, can be exploited in minimization S 5 - Spring 27 Lec #2: ombinational Logic - 34

18 Notation for Incompletely Specified Functions! on't cares and canonical forms " So far, only represented on-set " lso represent don't-care-set " Need two of the three sets (on-set, off-set, dc-set)! anonical representations of the increment by function: " = m + m2 + m4 + m6 + m8 + d + d + d2 + d3 + d4 + d5 " = ' [ m(,2,4,6,8) + d(,,2,3,4,5) ] " = M M3 M5 M7 M " = ( [ M(,3,5,7,9) (,,2,3,4,5) ] S 5 - Spring 27 Lec #2: ombinational Logic - 35 Simplification of Two-level ombinational Logic! Finding a minimal sum of products or product of sums realization " Exploit don't care information in the process! lgebraic simplification " Not an algorithmic/systematic procedure " How do you know when the minimum realization has been found?! omputer-aided design tools " Precise solutions require very long computation times, especially for functions with many inputs (> ) " Heuristic methods employed "educated guesses" to reduce amount of computation and yield good if not best solutions! Hand methods still relevant " Understand automatic tools and their strengths and weaknesses " bility to check results (on small examples) S 5 - Spring 27 Lec #2: ombinational Logic - 36

19 dministrative nnouncement! Labs and discussions start in 25 ory next week! on t forget: Lab lecture TOMORROW 2-3 pm! We will try to accommodate all wait-listed students! Lab sections posted on 25 ory and the Web by 5 PM S 5 - Spring 27 Lec #2: ombinational Logic - 37 The Uniting Theorem! Key tool for simplification: (' + ) =! Essence of simplification: " Find two element subsets of the ON-set where only one variable changes its value this single varying variable can be eliminated and a single product term used to represent both elements F = ''+' = ('+)' = ' F has the same value in both on-set rows! remains has a different value in the two rows! is eliminated S 5 - Spring 27 Lec #2: ombinational Logic - 38

20 oolean ubes! Visual technique for indentifying when the uniting theorem can be applied! n input variables = n-dimensional "cube" -cube Y 2-cube 3-cube Y Y W 4-cube S 5 - Spring 27 Lec #2: ombinational Logic - 39 Mapping Truth Tables onto oolean ubes! Uniting theorem combines two "faces" of a cube into a larger "face"! Example: F F two faces of size (nodes) combine into a face of size (line) ON-set = solid nodes OFF-set = empty nodes -set = )'d nodes varies within face, does not this face represents the literal ' S 5 - Spring 27 Lec #2: ombinational Logic - 4

21 Three Variable Example! inary full-adder carry-out logic in out ('+)in (in'+in) (+')in the on-set is completely covered by the combination (OR) of the subcubes of lower dimensionality - note that is covered three times out = in++in S 5 - Spring 27 Lec #2: ombinational Logic - 4 Higher imensional ubes! Sub-cubes of higher dimension than 2 F(,,) = 'm(4,5,6,7) on-set forms a square i.e., a cube of dimension 2 represents an expression in one variable i.e., 3 dimensions 2 dimensions is asserted (true) and unchanged and vary This subcube represents the literal S 5 - Spring 27 Lec #2: ombinational Logic - 42

22 m-imensional ubes in an n-imensional oolean Space! In a 3-cube (three variables): " -cube, i.e., a single node, yields a term in 3 literals " -cube, i.e., a line of two nodes, yields a term in 2 literals " 2-cube, i.e., a plane of four nodes, yields a term in literal " 3-cube, i.e., a cube of eight nodes, yields a constant term ""! In general, " m-subcube within an n-cube (m < n) yields a term with n m literals S 5 - Spring 27 Lec #2: ombinational Logic - 43 Karnaugh Maps! Flat map of oolean cube " Wrap around at edges " Hard to draw and visualize for more than 4 dimensions " Virtually impossible for more than 6 dimensions! lternative to truth-tables to help visualize adjacencies " Guide to applying the uniting theorem " On-set elements with only one variable changing value are adjacent unlike the situation in a linear truth-table 2 3 F S 5 - Spring 27 Lec #2: ombinational Logic - 44

23 Karnaugh Maps (cont d)! Numbering scheme based on Gray code " e.g.,,,, " Only a single bit changes in code for adjacent map cells = = S 5 - Spring 27 Lec #2: ombinational Logic - 45 djacencies in Karnaugh Maps! Wrap from first to last column! Wrap top row to bottom row S 5 - Spring 27 Lec #2: ombinational Logic - 46

24 Karnaugh Map Examples! F =! out =! f(,,) = 'm(,4,6,7) + in + in in + + obtain the complement of the function by covering s with subcubes S 5 - Spring 27 Lec #2: ombinational Logic - 47 More Karnaugh Map Examples G(,,) = F(,,) = 'm(,4,5,7) = + F' simply replace 's with 's and vice versa F'(,,) = ' m(,2,3,6) = + S 5 - Spring 27 Lec #2: ombinational Logic - 48

25 Karnaugh Map: 4-Variable Example! F(,,,) = 'm(,2,3,5,6,7,8,,,4,5) F = + + find the smallest number of the largest possible subcubes to cover the ON-set (fewer terms with fewer inputs per term) S 5 - Spring 27 Lec #2: ombinational Logic - 49 Karnaugh Maps: on t ares! f(,,,) = ' m(,3,5,7,9) + d(6,2,3) " without don't cares # f = + S 5 - Spring 27 Lec #2: ombinational Logic - 5

26 Karnaugh Maps: on t ares (cont d)! f(,,,) = ' m(,3,5,7,9) + d(6,2,3) " f = ' + '' without don't cares " f = ' + ' with don't cares by using don't care as a "" a 2-cube can be formed rather than a -cube to cover this node don't cares can be treated as s or s depending on which is more advantageous S 5 - Spring 27 Lec #2: ombinational Logic - 5 esign Example: Two-bit omparator N N2 LT EQ GT block diagram and truth table < = > LT EQ GT we'll need a 4-variable Karnaugh map for each of the 3 output functions S 5 - Spring 27 Lec #2: ombinational Logic - 52

27 esign Example: Two-bit omparator (cont d) K-map for LT K-map for EQ K-map for GT LT = EQ = GT = ' ' + ' + ' '''' + '' + + ' ' ' + ' + ' = ( xnor ) ( xnor ) LT and GT are similar (flip / and /) S 5 - Spring 27 Lec #2: ombinational Logic - 53 esign Example: Two-bit omparator (cont d) two alternative implementations of EQ with and without OR EQ EQ NOR is implemented with at least 3 simple gates S 5 - Spring 27 Lec #2: ombinational Logic - 54

28 esign Example: 2x2-bit Multiplier 2 2 block diagram and truth table P P2 P4 P8 2 2 P8 P4 P2 P 4-variable K-map for each of the 4 output functions S 5 - Spring 27 Lec #2: ombinational Logic - 55 esign Example: 2x2-bit Multiplier (cont d) 2 K-map for P8 K-map for P4 2 P4 = 22' + 2'2 2 P8 = K-map for P2 K-map for P P = 2 2 P2 = 2'2 + 2' + 22' + 2' 2 S 5 - Spring 27 Lec #2: ombinational Logic - 56

29 esign Example: Increment by I I2 I4 I8 block diagram and truth table O O2 O4 O8 I8 I4 I2 I O8 O4 O2 O 4-variable K-map for each of the 4 output functions S 5 - Spring 27 Lec #2: ombinational Logic - 57 esign Example: Increment by (cont d) I8 O8 O4 I8 I2 I4 I O8 = I4 I2 I + I8 I' O4 = I4 I2' + I4 I' + I4 I2 I O2 = I8 I2 I + I2 I' I2 I4 I I8 O2 O = I' O I8 I I I2 I2 I4 I4 S 5 - Spring 27 Lec #2: ombinational Logic - 58

30 efinition of Terms for Two-level Simplification! Implicant " Single element of ON-set or -set or any group of these elements that can be combined to form a subcube! Prime implicant " Implicant that can't be combined with another to form a larger subcube! Essential prime implicant " Prime implicant is essential if it alone covers an element of ON-set " Will participate in LL possible covers of the ON-set " -set used to form prime implicants but not to make implicant essential! Objective: " Grow implicant into prime implicants (minimize literals per term) " over the ON-set with as few prime implicants as possible (minimize number of product terms) S 5 - Spring 27 Lec #2: ombinational Logic - 59 Examples to Illustrate Terms 6 prime implicants: '', ',, '',, ' essential minimum cover: + ' + '' 5 prime implicants:, ',, ', '' essential minimum cover: 4 essential implicants S 5 - Spring 27 Lec #2: ombinational Logic - 6

31 lgorithm for Two-level Simplification! lgorithm: minimum sum-of-products expression from a K-map " Step : choose an element of the ON-set " Step 2: find "maximal" groupings of s and s adjacent to that element # consider top/bottom row, left/right column, and corner adjacencies # this forms prime implicants (number of elements always a power of 2) " Repeat Steps and 2 to find all prime implicants " Step 3: revisit the s in the K-map # if covered by single prime implicant, it is essential, participates in final cover # s covered by essential prime implicant do not need to be revisited " Step 4: if there remain s not covered by essential prime implicants # select the smallest number of prime implicants that cover the remaining s S 5 - Spring 27 Lec #2: ombinational Logic - 6 lgorithm for Two-level Simplification (example) 2 primes around ''' 2 primes around ' 3 primes around ''' 2 essential primes minimum cover (3 primes) S 5 - Spring 27 Lec #2: ombinational Logic - 62

32 Implementations of Two-level Logic! Sum-of-products " N gates to form product terms (minterms) " OR gate to form sum! Product-of-sums " OR gates to form sum terms (maxterms) " N gates to form product S 5 - Spring 27 Lec #2: ombinational Logic - 63 Two-level Logic Using NN Gates! Replace minterm N gates with NN gates! Place compensating inversion at inputs of OR gate S 5 - Spring 27 Lec #2: ombinational Logic - 64

33 Two-level Logic Using NN Gates (cont d)! OR gate with inverted inputs is a NN gate " de Morgan's: ' + ' = ( )'! Two-level NN-NN network " Inverted inputs are not counted " In a typical circuit, inversion is done once and signal distributed S 5 - Spring 27 Lec #2: ombinational Logic - 65 Two-level Logic Using NOR Gates! Replace maxterm OR gates with NOR gates! Place compensating inversion at inputs of N gate S 5 - Spring 27 Lec #2: ombinational Logic - 66

34 Two-level Logic Using NOR Gates (cont d)! N gate with inverted inputs is a NOR gate " de Morgan's: ' ' = ( + )'! Two-level NOR-NOR network " Inverted inputs are not counted " In a typical circuit, inversion is done once and signal distributed S 5 - Spring 27 Lec #2: ombinational Logic - 67 Two-level Logic Using NN and NOR Gates! NN-NN and NOR-NOR networks " de Morgan's law: ( + )' = ' ' ( )' = ' + ' " written differently: + = (' ') ( ) = (' + ')'! In other words " OR is the same as NN with complemented inputs " N is the same as NOR with complemented inputs " NN is the same as OR with complemented inputs " NOR is the same as N with complemented inputs OR OR N N NN NN NOR NOR S 5 - Spring 27 Lec #2: ombinational Logic - 68

35 onversion etween Forms! onvert from networks of Ns and ORs to networks of NNs and NORs " Introduce appropriate inversions ("bubbles")! Each introduced "bubble" must be matched by a corresponding "bubble" " onservation of inversions " o not alter logic function! Example: N/OR to NN/NN NN NN S 5 - Spring 27 Lec #2: ombinational Logic - 69 NN onversion etween Forms (cont d)! Example: verify equivalence of two forms NN NN NN = [ ( )' ( )' ]' = [ (' + ') (' + ') ]' = [ (' + ')' + (' + ')' ] = ( ) + ( )! S 5 - Spring 27 Lec #2: ombinational Logic - 7

36 onversion etween Forms (cont d)! Example: map N/OR network to NOR/NOR network NOR NOR \ \ \ \ NOR NOR NOR conserve "bubbles" Step Step 2 S 5 - Spring 27 Lec #2: ombinational Logic - 7 conserve "bubbles" onversion etween Forms (cont d)! Example: verify equivalence of two forms \ \ NOR NOR \ \ NOR = { [ (' + ')' + (' + ')' ]' }' = { (' + ') (' + ') }' = (' + ')' + (' + ')' = ( ) + ( )! S 5 - Spring 27 Lec #2: ombinational Logic - 72

37 ombinational Logic Summary! Logic functions, truth tables, and switches " NOT, N, OR, NN, NOR, OR,..., minimal set! xioms and theorems of oolean algebra " Proofs by re-writing and perfect induction! Gate logic " Networks of oolean functions and their time behavior! anonical forms " Two-level and incompletely specified functions! Simplification " Two-level simplification S 5 - Spring 27 Lec #2: ombinational Logic - 73

Possible logic functions of two variables

Possible logic functions of two variables ombinational logic asic logic oolean algebra, proofs by re-writing, proofs by perfect induction logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,..., minimal set Logic realization

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions.

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions. Combinational logic Possible logic functions of two variables Logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,... Minimal set xioms and theorems of oolean algebra Proofs by re-writing

More information

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid SE140: omponents and Design Techniques for Digital Systems Simplification of logic functions Tajana Simunic Rosing 1 What we covered thus far: Number representations Where we are now inary, Octal, Hex,

More information

EECS Variable Logic Functions

EECS Variable Logic Functions EECS150 Section 1 Introduction to Combinational Logic Fall 2001 2-Variable Logic Functions There are 16 possible functions of 2 input variables: in general, there are 2**(2**n) functions of n inputs X

More information

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1 Ch 2. Combinational Logic II - Combinational Logic Contemporary Logic Design 1 Combinational logic Define The kind of digital system whose output behavior depends only on the current inputs memoryless:

More information

Boolean cubes EECS150. Mapping truth tables onto cubes. Simplification. The Uniting Theorem. Three variable example

Boolean cubes EECS150. Mapping truth tables onto cubes. Simplification. The Uniting Theorem. Three variable example EES5 Section 5 Simplification and State Minimization Fall 2 -cube X oolean cubes Visual technique for indentifying when the uniting theorem can be applied n input variables = n-dimensional "cube" Y 2-cube

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions ombinational logic Possible logic functions of two variables asic logic oolean algebra, proofs by re-writing, proofs by perfect induction Logic functions, truth tables, and switches NOT, N, OR, NN,, OR,...,

More information

Chapter 2 Combinational logic

Chapter 2 Combinational logic Chapter 2 Combinational logic Chapter 2 is very easy. I presume you already took discrete mathemtics. The major part of chapter 2 is boolean algebra. II - Combinational Logic Copyright 24, Gaetano Borriello

More information

Working with Combinational Logic. Design example: 2x2-bit multiplier

Working with Combinational Logic. Design example: 2x2-bit multiplier Working with ombinational Logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and NORs

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms Review EECS 150 - Components and Design Techniques for Digital Systems Lec 06 Minimizing Boolean Logic 9/16-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Lecture A: Logic Design and Gates

Lecture A: Logic Design and Gates Lecture A: Logic Design and Gates Syllabus My office hours 9.15-10.35am T,Th or gchoi@ece.tamu.edu 333G WERC Text: Brown and Vranesic Fundamentals of Digital Logic,» Buy it.. Or borrow it» Other book:

More information

Combinational Logic. Review of Combinational Logic 1

Combinational Logic. Review of Combinational Logic 1 Combinational Logic! Switches -> Boolean algebra! Representation of Boolean functions! Logic circuit elements - logic gates! Regular logic structures! Timing behavior of combinational logic! HDLs and combinational

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and oolean lgebra) cknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of

More information

Working with combinational logic

Working with combinational logic Working with combinational logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and

More information

Learning Objectives. Boolean Algebra. In this chapter you will learn about:

Learning Objectives. Boolean Algebra. In this chapter you will learn about: Ref. Page Slide /78 Learning Objectives In this chapter you will learn about: oolean algebra Fundamental concepts and basic laws of oolean algebra oolean function and minimization Logic gates Logic circuits

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and oolean lgebra) cknowledgements: Lecture material adapted from Chapter 2 of R. Katz, G. orriello, Contemporary Logic Design (second edition), Pearson Education,

More information

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive March 30, 2010 John Wawrzynek Spring 2010 EECS150 - Lec19-cl1 Page 1 Boolean Algebra I (Representations of Combinational

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and Boolean Algebra) Acknowledgements: Lecture material adapted from Chapter 2 of R. Katz, G. Borriello, Contemporary Logic Design (second edition), Pearson

More information

Chapter 2: Switching Algebra and Logic Circuits

Chapter 2: Switching Algebra and Logic Circuits Chapter 2: Switching Algebra and Logic Circuits Formal Foundation of Digital Design In 1854 George Boole published An investigation into the Laws of Thoughts Algebraic system with two values 0 and 1 Used

More information

Boolean Algebra. Boolean Variables, Functions. NOT operation. AND operation. AND operation (cont). OR operation

Boolean Algebra. Boolean Variables, Functions. NOT operation. AND operation. AND operation (cont). OR operation oolean lgebra asic mathematics for the study of logic design is oolean lgebra asic laws of oolean lgebra will be implemented as switching devices called logic gates. Networks of Logic gates allow us to

More information

Switches: basic element of physical implementations

Switches: basic element of physical implementations Combinational logic Switches Basic logic and truth tables Logic functions Boolean algebra Proofs by re-writing and by perfect induction Winter 200 CSE370 - II - Boolean Algebra Switches: basic element

More information

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations Introduction to Electrical Engineering, II LETURE NOTES #2 Instructor: Email: Telephone: Office: ndrew. Kahng (lecture) abk@ucsd.edu 858-822-4884 office 3802 P&M lass Website: http://vlsicad.ucsd.edu/courses/ece20b/wi04/

More information

Week-I. Combinational Logic & Circuits

Week-I. Combinational Logic & Circuits Week-I Combinational Logic & Circuits Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other logic operators IC families and

More information

Logic Gates and Boolean Algebra

Logic Gates and Boolean Algebra Logic Gates and oolean lgebra The ridge etween Symbolic Logic nd Electronic Digital Computing Compiled y: Muzammil hmad Khan mukhan@ssuet.edu.pk asic Logic Functions and or nand nor xor xnor not 2 Logic

More information

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT):

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT): ENGI 386 Digital Logic II. COMBINATIONAL LOGIC DESIGN Combinational Logic output of digital system is only dependent on current inputs (i.e., no memory) (a) Boolean Algebra - developed by George Boole

More information

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman CS 121 Digital Logic Design Chapter 2 Teacher Assistant Hanin Abdulrahman 1 2 Outline 2.2 Basic Definitions 2.3 Axiomatic Definition of Boolean Algebra. 2.4 Basic Theorems and Properties 2.5 Boolean Functions

More information

Part 5: Digital Circuits

Part 5: Digital Circuits Characteristics of any number system are: Part 5: Digital Circuits 5.: Number Systems & Code Conversions. ase or radix is equal to the number of possible symbols in the system 2. The largest value of digit

More information

ECE/Comp Sci 352 Digital System Fundamentals Quiz # 1 Solutions

ECE/Comp Sci 352 Digital System Fundamentals Quiz # 1 Solutions Last (Family) Name: KIME First (Given) Name: Student I: epartment of Electrical and omputer Engineering University of Wisconsin - Madison EE/omp Sci 352 igital System Fundamentals Quiz # Solutions October

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates Digital Circuits & Systems Lecture Transparencies (Boolean lgebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean lgebra & Logic Gates Major topics Boolean algebra NND & NOR gates Boolean algebra

More information

Combinational Logic Design Principles

Combinational Logic Design Principles Combinational Logic Design Principles Switching algebra Doru Todinca Department of Computers Politehnica University of Timisoara Outline Introduction Switching algebra Axioms of switching algebra Theorems

More information

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both).

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both). hapter : oolean lgebra.) Definition of oolean lgebra The oolean algebra is named after George ool who developed this algebra (854) in order to analyze logical problems. n example to such problem is: Prove

More information

Outline. EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) Combinational Logic (CL) Defined

Outline. EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) Combinational Logic (CL) Defined EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) January 30, 2003 John Wawrzynek Outline Review of three representations for combinational logic:

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization Logic and omputer Design Fundamentals hapter 2 ombinational Logic ircuits Part 2 ircuit Optimization harles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Digital Circuit And Logic Design I. Lecture 3

Digital Circuit And Logic Design I. Lecture 3 Digital Circuit And Logic Design I Lecture 3 Outline Combinational Logic Design Principles (). Introduction 2. Switching algebra 3. Combinational-circuit analysis 4. Combinational-circuit synthesis Panupong

More information

EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits)

EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) September 5, 2002 John Wawrzynek Fall 2002 EECS150 Lec4-bool1 Page 1, 9/5 9am Outline Review of

More information

ENG2410 Digital Design Combinational Logic Circuits

ENG2410 Digital Design Combinational Logic Circuits ENG240 Digital Design Combinational Logic Circuits Fall 207 S. Areibi School of Engineering University of Guelph Binary variables Binary Logic Can be 0 or (T or F, low or high) Variables named with single

More information

ﻮﻧﺭﺎﮐ ﺔﺸﻘﻧ ﺎﺑ ﻱﺯﺎﺳ ﻪﻨﻴﻬﺑ

ﻮﻧﺭﺎﮐ ﺔﺸﻘﻧ ﺎﺑ ﻱﺯﺎﺳ ﻪﻨﻴﻬﺑ بهينه سازي با نقشة کارنو Karnaugh Map Karnaugh Map Method of graphically representing the truth table that helps visualize adjacencies 2-variable K-map 3-variable K-map 2 3 2 3 6 7 4 5 D 3 2 4 5 7 6 2

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction hapter 2 Introduction igital esign and omputer rchitecture, 2 nd Edition avid Money Harris and Sarah L. Harris logic circuit is composed of: Inputs Outputs Functional specification Timing specification

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev E&CE 223 Digital Circuits & Systems Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean Algebra & Logic Gates Major topics Boolean algebra NAND & NOR gates Boolean

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010 Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer rchitecture David Money Harris and

More information

Lecture 2. Notes. Notes. Notes. Boolean algebra and optimizing logic functions. BTF Electronics Fundamentals August 2014

Lecture 2. Notes. Notes. Notes. Boolean algebra and optimizing logic functions. BTF Electronics Fundamentals August 2014 Lecture 2 Electronics ndreas Electronics oolean algebra and optimizing logic functions TF322 - Electronics Fundamentals ugust 24 Exercise ndreas ern University of pplied Sciences Rev. 946f32 2. of oolean

More information

CMSC 313 Lecture 19 Combinational Logic Components Programmable Logic Arrays Karnaugh Maps

CMSC 313 Lecture 19 Combinational Logic Components Programmable Logic Arrays Karnaugh Maps CMSC 33 Lecture 9 Combinational Logic Components Programmable Logic rrays Karnaugh Maps UMC, CMSC33, Richard Chang Last Time & efore Returned midterm exam Half adders & full adders Ripple

More information

Theorem/Law/Axioms Over (.) Over (+)

Theorem/Law/Axioms Over (.) Over (+) material prepared by: MUKESH OHR Follow me on F : http://www.facebook.com/mukesh.sirji4u OOLEN LGER oolean lgebra is a set of rules, laws and theorems by which logical operations can be mathematically

More information

Why digital? Overview. Number Systems. Binary to Decimal conversion

Why digital? Overview. Number Systems. Binary to Decimal conversion Why digital? Overview It has the following advantages over analog. It can be processed and transmitted efficiently and reliably. It can be stored and retrieved with greater accuracy. Noise level does not

More information

Chapter # 3: Multi-Level Combinational Logic

Chapter # 3: Multi-Level Combinational Logic hapter # 3: Multi-Level ombinational Logic ontemporary Logic esign Randy H. Katz University of alifornia, erkeley June 993 No. 3- hapter Overview Multi-Level Logic onversion to NN-NN and - Networks emorgan's

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101> Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer Architecture David Money Harris and

More information

Slide Set 3. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

Slide Set 3. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary Slide Set 3 for ENEL 353 Fall 2016 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary Fall Term, 2016 SN s ENEL 353 Fall 2016 Slide Set 3 slide

More information

Lecture 1. Notes. Notes. Notes. Introduction. Introduction digital logic February Bern University of Applied Sciences

Lecture 1. Notes. Notes. Notes. Introduction. Introduction digital logic February Bern University of Applied Sciences Output voltage Input voltage 3.3V Digital operation (Switch) Lecture digital logic February 26 ern University of pplied Sciences Digital vs nalog Logic =? lgebra Logic = lgebra oolean lgebra Exercise Rev.

More information

CMSC 313 Lecture 19 Homework 4 Questions Combinational Logic Components Programmable Logic Arrays Introduction to Circuit Simplification

CMSC 313 Lecture 19 Homework 4 Questions Combinational Logic Components Programmable Logic Arrays Introduction to Circuit Simplification CMSC 33 Lecture 9 Homework 4 Questions Combinational Logic Components Programmable Logic rrays Introduction to Circuit Simplification UMC, CMSC33, Richard Chang CMSC 33, Computer Organization

More information

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction Chapter 5 igital systems digital system is any machine that processes information encoded in the form of digits. Modern digital systems use binary digits, encoded as voltage levels. Two voltage levels,

More information

CS 226: Digital Logic Design

CS 226: Digital Logic Design CS 226: Digital Logic Design 0 1 1 I S 0 1 0 S Department of Computer Science and Engineering, Indian Institute of Technology Bombay. 1 of 29 Objectives In this lecture we will introduce: 1. Logic functions

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 OMP2611: omputer Organization ombinational Logic OMP2611 Fall 2015 asics of Logic ircuits 2 its are the basis for binary number representation in digital computers ombining bits into patterns following

More information

Combinatorial Logic Design Principles

Combinatorial Logic Design Principles Combinatorial Logic Design Principles ECGR2181 Chapter 4 Notes Logic System Design I 4-1 Boolean algebra a.k.a. switching algebra deals with boolean values -- 0, 1 Positive-logic convention analog voltages

More information

CHAPTER 12 Boolean Algebra

CHAPTER 12 Boolean Algebra 318 Chapter 12 Boolean Algebra CHAPTER 12 Boolean Algebra SECTION 12.1 Boolean Functions 2. a) Since x 1 = x, the only solution is x = 0. b) Since 0 + 0 = 0 and 1 + 1 = 1, the only solution is x = 0. c)

More information

14:332:231 DIGITAL LOGIC DESIGN. Combinational Circuit Synthesis

14:332:231 DIGITAL LOGIC DESIGN. Combinational Circuit Synthesis :: DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering all Lecture #: Combinational Circuit Synthesis I Combinational Circuit Synthesis Recall: Combinational circuit

More information

Logic Design. Chapter 2: Introduction to Logic Circuits

Logic Design. Chapter 2: Introduction to Logic Circuits Logic Design Chapter 2: Introduction to Logic Circuits Introduction Logic circuits perform operation on digital signal Digital signal: signal values are restricted to a few discrete values Binary logic

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

Logic and Boolean algebra

Logic and Boolean algebra Computer Mathematics Week 7 Logic and Boolean algebra College of Information Science and Engineering Ritsumeikan University last week coding theory channel coding information theory concept Hamming distance

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

Contents. Chapter 2 Digital Circuits Page 1 of 30

Contents. Chapter 2 Digital Circuits Page 1 of 30 Chapter 2 Digital Circuits Page 1 of 30 Contents Contents... 1 2 Digital Circuits... 2 2.1 Binary Numbers... 2 2.2 Binary Switch... 4 2.3 Basic Logic Operators and Logic Expressions... 5 2.4 Truth Tables...

More information

Combinational Logic Fundamentals

Combinational Logic Fundamentals Topic 3: Combinational Logic Fundamentals In this note we will study combinational logic, which is the part of digital logic that uses Boolean algebra. All the concepts presented in combinational logic

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

3. PRINCIPLES OF COMBINATIONAL LOGIC

3. PRINCIPLES OF COMBINATIONAL LOGIC Principle of ombinational Logic -. PRINIPLES OF OMINTIONL LOGI Objectives. Understand the design & analysis procedure of combinational logic.. Understand the optimization of combinational logic.. efinitions

More information

LOGIC GATES. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC GATES. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Eperiment and Design of Electronics LOGIC GATES Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Boolean algebra Logic gates Karnaugh maps

More information

Ex: Boolean expression for majority function F = A'BC + AB'C + ABC ' + ABC.

Ex: Boolean expression for majority function F = A'BC + AB'C + ABC ' + ABC. Boolean Expression Forms: Sum-of-products (SOP) Write an AND term for each input combination that produces a 1 output. Write the input variable if its value is 1; write its complement otherwise. OR the

More information

Propositional Logic. Logical Expressions. Logic Minimization. CNF and DNF. Algebraic Laws for Logical Expressions CSC 173

Propositional Logic. Logical Expressions. Logic Minimization. CNF and DNF. Algebraic Laws for Logical Expressions CSC 173 Propositional Logic CSC 17 Propositional logic mathematical model (or algebra) for reasoning about the truth of logical expressions (propositions) Logical expressions propositional variables or logical

More information

ELEC Digital Logic Circuits Fall 2015 Logic Minimization (Chapter 3)

ELEC Digital Logic Circuits Fall 2015 Logic Minimization (Chapter 3) ELE 2200-002 igital Logic ircuits Fall 205 Logic Minimization (hapter 3) Vishwani. grawal James J. anaher Professor epartment of Electrical and omputer Engineering uburn University, uburn, L 36849 http://www.eng.auburn.edu/~vagrawal

More information

12/31/2010. Overview. 05-Boolean Algebra Part 3 Text: Unit 3, 7. DeMorgan s Law. Example. Example. DeMorgan s Law

12/31/2010. Overview. 05-Boolean Algebra Part 3 Text: Unit 3, 7. DeMorgan s Law. Example. Example. DeMorgan s Law Overview 05-oolean lgebra Part 3 Text: Unit 3, 7 EEGR/ISS 201 Digital Operations and omputations Winter 2011 DeMorgan s Laws lgebraic Simplifications Exclusive-OR and Equivalence Functionally omplete NND-NOR

More information

The Karnaugh Map COE 202. Digital Logic Design. Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals

The Karnaugh Map COE 202. Digital Logic Design. Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals The Karnaugh Map COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Boolean Function Minimization The Karnaugh Map (K-Map) Two, Three,

More information

Chapter 3. Boolean Algebra. (continued)

Chapter 3. Boolean Algebra. (continued) Chapter 3. Boolean Algebra (continued) Algebraic structure consisting of: set of elements B binary operations {+, -} unary operation {'} Boolean Algebra such that the following axioms hold:. B contains

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

UNIT 5 KARNAUGH MAPS Spring 2011

UNIT 5 KARNAUGH MAPS Spring 2011 UNIT 5 KRNUGH MPS Spring 2 Karnaugh Maps 2 Contents Minimum forms of switching functions Two- and three-variable Four-variable Determination of minimum expressions using essential prime implicants Five-variable

More information

Boolean Algebra and Logic Simplification

Boolean Algebra and Logic Simplification S302 Digital Logic Design Boolean Algebra and Logic Simplification Boolean Analysis of Logic ircuits, evaluating of Boolean expressions, representing the operation of Logic circuits and Boolean expressions

More information

MC9211 Computer Organization

MC9211 Computer Organization MC92 Computer Organization Unit : Digital Fundamentals Lesson2 : Boolean Algebra and Simplification (KSB) (MCA) (29-2/ODD) (29 - / A&B) Coverage Lesson2 Introduces the basic postulates of Boolean Algebra

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

1. Name the person who developed Boolean algebra

1. Name the person who developed Boolean algebra MATHEMATIC CENTER D96 MUNIRKA VILLAGE NEW DELHI 67 & VIKAS PURI NEW DELHI CONTACT FOR COACHING MATHEMATICS FOR TH 2TH NDA DIPLOMA SSC CAT SAT CPT CONTACT FOR ADMISSION GUIDANCE B.TECH BBA BCA, MCA MBA

More information

CHAPTER 7 MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES

CHAPTER 7 MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES CHAPTER 7 MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES This chapter in the book includes: Objectives Study Guide 7.1 Multi-Level Gate Circuits 7.2 NAND and NOR Gates 7.3 Design of Two-Level Circuits Using

More information

ELEC Digital Logic Circuits Fall 2014 Logic Minimization (Chapter 3)

ELEC Digital Logic Circuits Fall 2014 Logic Minimization (Chapter 3) ELE 2200-002 Digital Logic ircuits Fall 204 Logic Minimization (hapter 3) Vishwani D. grawal James J. Danaher Professor Department of Electrical and omputer Engineering uburn University, uburn, L 36849

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 2 Following the slides of Dr. Ahmed H. Madian ذو الحجة 438 ه Winter

More information

Chapter 4 Optimized Implementation of Logic Functions

Chapter 4 Optimized Implementation of Logic Functions Chapter 4 Optimized Implementation of Logic Functions Logic Minimization Karnaugh Maps Systematic Approach for Logic Minimization Minimization of Incompletely Specified Functions Tabular Method for Minimization

More information

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation Outline Logic ircuits Introduction Logic Systems TTL MOS Logic Gates NOT, OR, N NOR, NN, XOR Implementation oolean lgebra ombinatorial ircuits Multipleer emultipleer rithmetic ircuits Simplifying Logic

More information

Digital Design 2. Logic Gates and Boolean Algebra

Digital Design 2. Logic Gates and Boolean Algebra Digital Design 2. Logic Gates and oolean lgebra József Sütő ssistant Lecturer References: [1] D.M. Harris, S.L. Harris, Digital Design and Computer rchitecture, 2nd ed., Elsevier, 213. [2] T.L. Floyd,

More information

This form sometimes used in logic circuit, example:

This form sometimes used in logic circuit, example: Objectives: 1. Deriving of logical expression form truth tables. 2. Logical expression simplification methods: a. Algebraic manipulation. b. Karnaugh map (k-map). 1. Deriving of logical expression from

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY Drill Problems 3 benches. Another practical book is VHDL for Programmable Logic, by Kevin Skahill of Cypress Semiconductor (Addison-esley, 1996). All of the ABEL and VHDL examples in this chapter and throughout

More information

Chapter 2: Boolean Algebra and Logic Gates

Chapter 2: Boolean Algebra and Logic Gates Chapter 2: Boolean Algebra and Logic Gates Mathematical methods that simplify binary logics or circuits rely primarily on Boolean algebra. Boolean algebra: a set of elements, a set of operators, and a

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates Huntington Postulates 1. (a) Closure w.r.t. +. (b) Closure w.r.t.. 2. (a) Identity element 0 w.r.t. +. x + 0 = 0 + x = x. (b) Identity element 1 w.r.t.. x 1 =

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN :: DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall Lecture #: Combinational Circuit Synthesis II hat if we have input variables? V = V = of Example with variables

More information

UNIT 4 MINTERM AND MAXTERM EXPANSIONS

UNIT 4 MINTERM AND MAXTERM EXPANSIONS UNIT 4 MINTERM AND MAXTERM EXPANSIONS Spring 2 Minterm and Maxterm Expansions 2 Contents Conversion of English sentences to Boolean equations Combinational logic design using a truth table Minterm and

More information

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR TOPIS: Logic Logic Expressions Logic Gates Simplifying Logic Expressions Sequential Logic (Logic with a Memory) George oole (85-864), English mathematician, oolean logic used in digital computers since

More information

12/31/2010. Overview. 10-Combinational Circuit Design Text: Unit 8. Limited Fan-in. Limited Fan-in. Limited Fan-in. Limited Fan-in

12/31/2010. Overview. 10-Combinational Circuit Design Text: Unit 8. Limited Fan-in. Limited Fan-in. Limited Fan-in. Limited Fan-in Overview 10-ombinational ircuit esign Text: Unit 8 Gates with elays and Timing Other Hazards GR/ISS 201 igital Operations and omputations Winter 2011 r. Louie 2 Practical logic gates are limited by the

More information

Boolean Algebra, Gates and Circuits

Boolean Algebra, Gates and Circuits Boolean Algebra, Gates and Circuits Kasper Brink November 21, 2017 (Images taken from Tanenbaum, Structured Computer Organization, Fifth Edition, (c) 2006 Pearson Education, Inc.) Outline Last week: Von

More information