Lecture 2: Computer Arithmetic: Adders

Size: px
Start display at page:

Download "Lecture 2: Computer Arithmetic: Adders"

Transcription

1 CMU S 9 L Leture 2: Computer Arithmeti: Adder Jame C. Hoe Dept of ECE, CMU January 4, 29 Announement: No la on Monday Verilog Refreher next Wedneday Review P&H Ch 3 Handout: Lab and HW will be poted on Blakboard thi weekend Binary Number Repreentation CMU S 9 L Let b n- b n-2 b 2 b b repreent an n-bit unigned integer it value i n weight of the i th digit i= 2 i bi value of the i th digit a finite repreentation between and 2 n - e.g., two = 8 ten + 2 ten + ten = ten (more ommonly rewritten =) Often written in Hex for eaier human onumption to onvert, tarting from the LSB, map 4 binary digit at a time into a orreponding hex digit; and vie vera e.g., _ two =AB hex For onverting between binary and deimal, memorize deimal value of 2 ~ 2, and remember 2 i about.

2 CMU S 9 L Complement Number Repreentation Let b n- b n-2 b 2 b b repreent an n-bit igned integer it value i 2 n b n n 2 i= a finite repreentation between -2 n- and 2 n- - e.g., aume 4-bit 2 -omplement b = = -5 b = = - To negate a 2 -omplement number add to the bit-wie omplement aume 4-bit 2 -omplement (- b ) = b + = b = 5 (- b ) = b + = b = -5 (- b ) = b + = b = (- b ) = b + = b = + i 2 b i Intuition: a 4-bit example b =4 b =-2,4 b =5 b =-,5 CMU S 9 L b = (a 2 ), unigned) (a unigned) b =, b =2,2 b =3 b =-3,3 b =2 b =-4,2 b = b =-5, b = b =-6, 4-bit 6 value (whether igned or unigned) b =3,3 b =4,4 b =5,5 b =6,6 how to add two number b =9 b =-7,9 b =7,7 b =8 b =-8,8 what it mean to overflow the number repreentation how to negate a number Ye, i a poitive number in CS

3 Smaller to Larger Binary Repreentation Unigned number pad the left with a many a you need (aka -extenion) e.g. 4 b 8 b_ 2 -omplement number poitive: pad the left with a many a you need negative: pad the left with a many a you need e.g. 4b 8 b_ 4b 8 b_ or generially, pad the left with the ame value a the original ign-bit a many time a neeary (aka ignedextenion) CMU S 9 L What about onverting from larger to maller repreentation? (Unigned) Binary Addition CMU S 9 L Long Hand b 3 a 3 b 2 a 2 b a b a 4 arry? What about ubtration?

4 Full Adder CMU S 9 L out FA a b out = a b out = b +a +ab 3-way majority parity a, b, are funtionally inditinguihable a input Unigned Binary Addition CMU S 9 L b 3 a 3 b 2 a 2 b a b a 4 4 overflow? arry? o i o i 3 2 o i o i 3 2 Could ue a half-adder, but let wait

5 2 -Complement Addition CMU S 9 L b 3 a 3 b 2 a 2 b a b a 4 arry? o i o i o i o i 3 2 overflow? = (a 3 b 3 )? : (a 3 3 ) - an t overflow when adding a po. and a neg. number - if 2 po. number yield a neg. number V; vie 2 -Complement Subtration CMU S 9 L2-29 Subtrating i like adding the negative Negation i eay in a 2 -omplement repreentation A B bit-wie omplement v ub? S How do you build a omparator (i.e., >, <)?

6 Analyi of an n-bit Ripple-Carry Adder Size/Complexity: O(n) n x SizeOf( Full Adder ) CMU S 9 L2-29 Critial Path Delay: O(n) n x DelayOf( Full Adder ) n x 2 gate delay (auming 2-level SOP i ued) b n- a n- b a b a ab ab ab out o i o i o i n- Global Clok Simplified Timing lok period hoen to be greater than wort-ae T pd CMU S 9 L Syn omb omb Syn Syn omb omb Syn Syn omb omb Syn regiter lath new value T pd : ombinational propagation delay No more hange to Q What about etup-time, hold-time, kew and uh?

7 High-Performane Adder? CMU S 9 L Intel P4 i deigned around a lok period that i twie the 6-bit adder lateny Uing a rough etimation gate delay.5 n-per-miron x feature-ize a 9nm proe ha gate delay = 45p If Intel ued a ripple-arry adder then P4 hould be running ~ / (2x2x6x45p) = 347MHz Alternatively peaking, 3GHz P4 would have to add 2 6-bit number in ~4 gate delay Cutting Down the Carry Chain How to redue the arry-propagation delay? Remember, long-hand i how mot of u add, but not the only way Can we ompute an intermediate arry ignal without firt omputing the earlier one e.g., let m (or m ) be a funtion of a m...a and b m...b 2 =(a a b )+(a a )+(a b )+(b a b )+(b a ) +(b b )+(a b ) Complexity grow exponentially in n exponential in t too bad for mall n gate delay i 2, independent of n b n- a n- b a true for mall n CMU S 9 L b a What about large n? n- n-

8 Carry-Selet Adder CMU S 9 L A 5:8 B 5:8 A 7: B 7: adder 8 adder 8 adder 8 S 7: C S 5:8 3 adder operate in parallel!! ot=.5 n FA ize + mux delay=.5 n FA delay +mux-delay if n=6 ~6 gate-delay Multi-Stage CSA CMU S 9 L a 5:2 b 5:2 a :8 b :8 a 7:4 b 7:4 a 3: b 3: adder 4 adder 4 adder 4 adder 4 5:2 :8 7:4 3: ot=(2k-)/k n FA ize + mux --- for k-tage delay=n FA delay /k +(k-) mux-delay k=4,n=6 ~8 gate-delay + 3 mux-delay k=8,n=6 ~4 gate-delay + 7 mux-delay k=6,n=6 ~2 gate-delay + 5 mux-delay

9 Variable-Length CSA CMU S 9 L a 5: b 5: a 9:5 b 9:5 a 4:2 b 4:2 a b a b adder 6 adder 5 adder 3 FA FA 5: 9:5 4:2 -double the ot -delay et by the longet adder tage, grow by O(n /2 ) with areful ritial path tuning Can we have ut-down the arrie without 2x ot? Carry Generate and Propagate CMU S 9 L a b out out X X If a b then out i regardle of (arry generate) if a b then out i the ame a (arry propagate) g i =a i b i p i =a i b i loal deiion baed on a i and b i only

10 Small Carry-Look-Ahead Adder CMU S 9 L Given g i =a i b i p i =a i b i i+ = g i + (p i i ) Thu =g +(p ) 2 =g +(p )=g +(p (g +(p )))=g +p g +p p 3 =g 2 +p 2 g +p 2 p g +p 2 p p 4 =g 3 +p 3 g 2 +p 3 p 2 g +p 3 p 2 p g +p 3 p 2 p p and o on -We an ompute n in O(log n) gate delay and O(n 2 ) ize, only manageable for mall n -Given n we an ompute n for a ontant additional delay Prefix Carry-Look-Ahead CMU S 9 L Given =g +(p ) 2 =g +(p )=g +(p (g +(p )))=g +p g +p p 3 =g 2 +p 2 g +p 2 p g +p 2 p p 4 =g 3 +p 3 g 2 +p 3 p 2 g +p 3 p 2 p g +p 3 p 2 p p A a 4-arity group G4=g 3 +p 3 g 2 +p 3 p 2 g +p 3 p 2 p g G P P4=p 3 p 2 p p g n-: p n-: CLAn Pn Gn

11 Prefix Carry-Look-Ahead CMU S 9 L p [5:2] g [5:2] [5:2] p [:8] g [:8] [:8] p [7:4] g [7:4] [7:4] p [3:] g [3:] [3:] CLA 4 CLA 4 CLA 4 CLA 4 G 3 P 3 Cin 6 G 2 P 2 Cin 8 G P Cin 4 G P Cin CLA 4 CLA 6 G6 Cin P6 Thi truture an be reured: O(log n) delay, O(n) ize Computing Individual Carrie CMU S 9 L Example: 8-bit, 2-ary CLA g p g p g 2 p 2 g 3 p 3 = generate for bit ~ = Cin = g + p Cin 2 = G + P Cin 4 = G3 + P3 Cin C out = G7 + P7 Cin G7 g 4 p 4 g 5 p 5 g 6 p 6 g 7 p 7 P7 3 = g 2 + p = g 4 + p = G54 + P = g 6 +p 6 (G54 + P54 4 )

12 Large Adder uing Carry-Skip CMU S 9 L A IV A IV A III B III A II B II A I B I P G+P G CLA n/4 P G+P G CLA n/4 P G+P G CLA n/4 P G+P G CLA n/4 adder n/4 adder n/4 adder n/4 adder n/4 out S IV S III S II S I Fat enough and heaper than omputing individual i by G.P. Adder at a Glane CMU S 9 L Ripple Adder O(n) ize, O(n) delay Carry-Selet Adder O(n) ize, O(n.5 ) delay Carry-Look-Ahead Adder O(n 2 ) ize, O(log n) delay Prefix Adder O(n) ize, O(log n) delay But, remember all approahe have deign weetpot and make different tradeoff There alo are iruit-level adder trik (e.g., Manheter arry hain)

13 Blak Magi of Adder Deign CMU S 9 L High-performane adder deign are extremely important to high-performane omputing Studied extenively in theoretial framework Worked on extenively in pratie Neverthele remain very muh a trial-and-error deign exerie For a 64-bit adder, one might ontrut adder of variou (hort) length uing 2-level logi a 6-bit adder from mall adder with variable-length arry-elet a 32-bit adder from 2 6-bit CSA with CLA to determine arry for the upper 6 bit a 64-bit 2-tage CSA adder from 3 32-bit adder

Carry Look-ahead Adders. EECS150 - Digital Design Lecture 12 - Combinational Logic & Arithmetic Circuits Part 2. Carry Look-ahead Adders

Carry Look-ahead Adders. EECS150 - Digital Design Lecture 12 - Combinational Logic & Arithmetic Circuits Part 2. Carry Look-ahead Adders EECS5 - Digital Design Leture 2 - Combinational Logi & Arithmeti Ciruits Part 2 Otober 3, 22 John Wawrzynek In general, for n-bit addition best we an ahieve is delay α log(n) How do we arrange this? (think

More information

Arithmetic Circuits. Comp 120, Spring 05 2/10 Lecture. Today s BIG Picture Reading: Study Chapter 3. (Chapter 4 in old book)

Arithmetic Circuits. Comp 120, Spring 05 2/10 Lecture. Today s BIG Picture Reading: Study Chapter 3. (Chapter 4 in old book) omp 2, pring 5 2/ Leture page Arithmeti iruits Didn t I learn how to do addition in the seond grade? UN ourses aren t what they used to be... + Finally; time to build some serious funtional bloks We ll

More information

Lecture 12: Datapath Functional Units

Lecture 12: Datapath Functional Units Lecture 2: Datapath Functional Unit Slide courtey of Deming Chen Slide baed on the initial et from David Harri CMOS VLSI Deign Outline Comparator Shifter Multi-input Adder Multiplier Reading:.3-4;.8-9

More information

Lecture 18: Datapath Functional Units

Lecture 18: Datapath Functional Units Lecture 8: Datapath Functional Unit Outline Comparator Shifter Multi-input Adder Multiplier 8: Datapath Functional Unit CMOS VLSI Deign 4th Ed. 2 Comparator 0 detector: A = 00 000 detector: A = Equality

More information

Lecture 12: Datapath Functional Units

Lecture 12: Datapath Functional Units Introduction to CMOS VLSI Deign Lecture 2: Datapath Functional Unit David Harri Harvey Mudd College Spring 2004 Outline Comparator Shifter Multi-input Adder Multiplier 2: Datapath Functional Unit CMOS

More information

Adder Circuits Ivor Page 1

Adder Circuits Ivor Page 1 Adder Circuit Adder Circuit Ivor Page 4. The Ripple Carr Adder The ripple carr adder i probabl the implet parallel binar adder. It i made up of k full-adder tage, where each full-adder can be convenientl

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN 4:332:23 DIGITAL LOGIC DEIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall 23 Lecture #4: Adders, ubtracters, and ALUs Vector Binary Adder [Wakerly 4 th Ed., ec. 6., p. 474] ingle

More information

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY UNIT III Design of ombinational Logic ircuits Department of omputer Science SRM UNIVERSITY Introduction to ombinational ircuits Logic circuits for digital systems may be ombinational Sequential combinational

More information

EECS150. Arithmetic Circuits

EECS150. Arithmetic Circuits EE5 ection 8 Arithmetic ircuits Fall 2 Arithmetic ircuits Excellent Examples of ombinational Logic Design Time vs. pace Trade-offs Doing things fast may require more logic and thus more space Example:

More information

Midterm Exam Two is scheduled on April 8 in class. On March 27 I will help you prepare Midterm Exam Two.

Midterm Exam Two is scheduled on April 8 in class. On March 27 I will help you prepare Midterm Exam Two. Announcements Midterm Exam Two is scheduled on April 8 in class. On March 27 I will help you prepare Midterm Exam Two. Chapter 5 1 Chapter 3: Part 3 Arithmetic Functions Iterative combinational circuits

More information

ECE380 Digital Logic. Positional representation

ECE380 Digital Logic. Positional representation ECE380 Digital Logic Number Representation and Arithmetic Circuits: Number Representation and Unsigned Addition Dr. D. J. Jackson Lecture 16-1 Positional representation First consider integers Begin with

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 18 Arithmetic II (Multiplication) Computer Number Systems

Review. EECS Components and Design Techniques for Digital Systems. Lec 18 Arithmetic II (Multiplication) Computer Number Systems Review EE 5 - omponents and Design Techniques for Digital ystems Lec 8 rithmetic II (Multiplication) David uller Electrical Engineering and omputer ciences University of alifornia, Berkeley http://www.eecs.berkeley.edu/~culler

More information

CS 140 Lecture 14 Standard Combinational Modules

CS 140 Lecture 14 Standard Combinational Modules CS 14 Lecture 14 Standard Combinational Modules Professor CK Cheng CSE Dept. UC San Diego Some slides from Harris and Harris 1 Part III. Standard Modules A. Interconnect B. Operators. Adders Multiplier

More information

Number representation

Number representation Number representation A number can be represented in binary in many ways. The most common number types to be represented are: Integers, positive integers one-complement, two-complement, sign-magnitude

More information

Carry Look Ahead Adders

Carry Look Ahead Adders Carry Look Ahead Adders Lesson Objectives: The objectives of this lesson are to learn about: 1. Carry Look Ahead Adder circuit. 2. Binary Parallel Adder/Subtractor circuit. 3. BCD adder circuit. 4. Binary

More information

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors

We are here. Assembly Language. Processors Arithmetic Logic Units. Finite State Machines. Circuits Gates. Transistors CSC258 Week 3 1 Logistics If you cannot login to MarkUs, email me your UTORID and name. Check lab marks on MarkUs, if it s recorded wrong, contact Larry within a week after the lab. Quiz 1 average: 86%

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

CMSC 313 Lecture 18 Midterm Exam returned Assign Homework 3 Circuits for Addition Digital Logic Components Programmable Logic Arrays

CMSC 313 Lecture 18 Midterm Exam returned Assign Homework 3 Circuits for Addition Digital Logic Components Programmable Logic Arrays MS 33 Lecture 8 Midterm Exam returned Assign Homework 3 ircuits for Addition Digital Logic omponents Programmable Logic Arrays UMB, MS33, Richard hang MS 33, omputer Organization & Assembly

More information

Where are we? Data Path Design

Where are we? Data Path Design Where are we? Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath Data Path Design

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Multiplication CprE 281: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev HW

More information

Hardware Implementation of Canonic Signed Digit Recoding

Hardware Implementation of Canonic Signed Digit Recoding IOSR Journal of VLSI and Signal Proceing (IOSR-JVSP) Volume 6, Iue 2, Ver. I (Mar. -Apr. 2016), PP 11-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iorjournal.org Hardware Implementation of Canonic

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Fast Adders CprE 281: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev HW5

More information

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan Where are we? Data Path Design Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath

More information

Hardware Design I Chap. 4 Representative combinational logic

Hardware Design I Chap. 4 Representative combinational logic Hardware Design I Chap. 4 Representative combinational logic E-mail: shimada@is.naist.jp Already optimized circuits There are many optimized circuits which are well used You can reduce your design workload

More information

Chapter 6 Control Systems Design by Root-Locus Method. Lag-Lead Compensation. Lag lead Compensation Techniques Based on the Root-Locus Approach.

Chapter 6 Control Systems Design by Root-Locus Method. Lag-Lead Compensation. Lag lead Compensation Techniques Based on the Root-Locus Approach. hapter 6 ontrol Sytem Deign by Root-Lou Method Lag-Lead ompenation Lag lead ompenation ehnique Baed on the Root-Lou Approah. γ β K, ( γ >, β > ) In deigning lag lead ompenator, we onider two ae where γ

More information

Arithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be...

Arithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be... rithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be... + Finally; time to build some serious functional blocks We ll need a lot of boxes The

More information

EECS150 - Digital Design Lecture 10 - Combinational Logic Circuits Part 1

EECS150 - Digital Design Lecture 10 - Combinational Logic Circuits Part 1 EECS5 - Digital Design Lecture - Combinational Logic Circuits Part Feburary 26, 22 John Wawrzynek Spring 22 EECS5 - Lec-cl Page Combinational Logic (CL) Defined y i = f i (x,...., xn-), where x, y are

More information

ARITHMETIC COMBINATIONAL MODULES AND NETWORKS

ARITHMETIC COMBINATIONAL MODULES AND NETWORKS ARITHMETIC COMBINATIONAL MODULES AND NETWORKS 1 SPECIFICATION OF ADDER MODULES FOR POSITIVE INTEGERS HALF-ADDER AND FULL-ADDER MODULES CARRY-RIPPLE AND CARRY-LOOKAHEAD ADDER MODULES NETWORKS OF ADDER MODULES

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Chapter 5 Arithmetic Circuits

Chapter 5 Arithmetic Circuits Chapter 5 Arithmetic Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 11, 2016 Table of Contents 1 Iterative Designs 2 Adders 3 High-Speed

More information

DISCHARGE MEASUREMENT IN TRAPEZOIDAL LINED CANALS UTILIZING HORIZONTAL AND VERTICAL TRANSITIONS

DISCHARGE MEASUREMENT IN TRAPEZOIDAL LINED CANALS UTILIZING HORIZONTAL AND VERTICAL TRANSITIONS Ninth International Water Tehnology Conferene, IWTC9 005, Sharm El-Sheikh, Egypt 63 DISCHARGE MEASUREMENT IN TRAPEZOIDAL LINED CANALS UTILIZING HORIZONTAL AND VERTICAL TRANSITIONS Haan Ibrahim Mohamed

More information

Internal Model Control

Internal Model Control Internal Model Control Part o a et o leture note on Introdution to Robut Control by Ming T. Tham 2002 The Internal Model Prinile The Internal Model Control hiloohy relie on the Internal Model Prinile,

More information

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr.

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr. Chapter 4 Dr. Panos Nasiopoulos Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. Sequential: In addition, they include storage elements Combinational

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of omputer Systems ombinational Logic Stephen. Edwards olumbia University Fall 2012 Encoders and Decoders Decoders Input: n-bit binary number Output: 1-of-2 n one-hot code 2-to-4 in out 00

More information

PIPELINING AND PARALLEL PROCESSING. UNIT 4 Real time Signal Processing

PIPELINING AND PARALLEL PROCESSING. UNIT 4 Real time Signal Processing PIPELINING AND PARALLEL PROCESSING UNIT 4 Real time Signal Proceing Content Introduction Pipeling of FIR Digital Filter Parallel proceing Low power Deign FIR Digital Filter A FIR Filter i defined a follow:

More information

Binary addition by hand. Adding two bits

Binary addition by hand. Adding two bits Chapter 3 Arithmetic is the most basic thing you can do with a computer We focus on addition, subtraction, multiplication and arithmetic-logic units, or ALUs, which are the heart of CPUs. ALU design Bit

More information

THE SOLAR SYSTEM. We begin with an inertial system and locate the planet and the sun with respect to it. Then. F m. Then

THE SOLAR SYSTEM. We begin with an inertial system and locate the planet and the sun with respect to it. Then. F m. Then THE SOLAR SYSTEM We now want to apply what we have learned to the olar ytem. Hitorially thi wa the great teting ground for mehani and provided ome of it greatet triumph, uh a the diovery of the outer planet.

More information

UNSIGNED BINARY NUMBERS DIGITAL ELECTRONICS SYSTEM DESIGN WHAT ABOUT NEGATIVE NUMBERS? BINARY ADDITION 11/9/2018

UNSIGNED BINARY NUMBERS DIGITAL ELECTRONICS SYSTEM DESIGN WHAT ABOUT NEGATIVE NUMBERS? BINARY ADDITION 11/9/2018 DIGITAL ELECTRONICS SYSTEM DESIGN LL 2018 PROFS. IRIS BAHAR & ROD BERESFORD NOVEMBER 9, 2018 LECTURE 19: BINARY ADDITION, UNSIGNED BINARY NUMBERS For the binary number b n-1 b n-2 b 1 b 0. b -1 b -2 b

More information

1 Short adders. t total_ripple8 = t first + 6*t middle + t last = 4t p + 6*2t p + 2t p = 18t p

1 Short adders. t total_ripple8 = t first + 6*t middle + t last = 4t p + 6*2t p + 2t p = 18t p UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Study Homework: Arithmetic NTU IC54CA (Fall 2004) SOLUTIONS Short adders A The delay of the ripple

More information

5.2.6 COMPARISON OF QUALITY CONTROL AND VERIFICATION TESTS

5.2.6 COMPARISON OF QUALITY CONTROL AND VERIFICATION TESTS 5..6 COMPARISON OF QUALITY CONTROL AND VERIFICATION TESTS Thi proedure i arried out to ompare two different et of multiple tet reult for finding the ame parameter. Typial example would be omparing ontrator

More information

Chapter 4. Simulations. 4.1 Introduction

Chapter 4. Simulations. 4.1 Introduction Chapter 4 Simulation 4.1 Introdution In the previou hapter, a methodology ha been developed that will be ued to perform the ontrol needed for atuator haraterization. A tudy uing thi methodology allowed

More information

Combina-onal Logic Chapter 4. Topics. Combina-on Circuit 10/13/10. EECE 256 Dr. Sidney Fels Steven Oldridge

Combina-onal Logic Chapter 4. Topics. Combina-on Circuit 10/13/10. EECE 256 Dr. Sidney Fels Steven Oldridge Combina-onal Logic Chapter 4 EECE 256 Dr. Sidney Fels Steven Oldridge Topics Combina-onal circuits Combina-onal analysis Design procedure simple combined to make complex adders, subtractors, converters

More information

2.7.2 Limits to Parallelism

2.7.2 Limits to Parallelism Chapter 2 Exercie 53 The 1990 will find a broader ue of multiproceor a the peed of individual proceor reache the limit of metal interconnection. The highet utainable clock rate for metal interconnection

More information

Arithmetic Circuits How to add and subtract using combinational logic Setting flags Adding faster

Arithmetic Circuits How to add and subtract using combinational logic Setting flags Adding faster rithmetic Circuits Didn t I learn how to do addition in second grade? UNC courses aren t what they used to be... 01011 +00101 10000 Finally; time to build some serious functional blocks We ll need a lot

More information

EFFICIENT MULTIOUTPUT CARRY LOOK-AHEAD ADDERS

EFFICIENT MULTIOUTPUT CARRY LOOK-AHEAD ADDERS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 EFFICIENT MULTIOUTPUT CARRY LOOK-AHEAD ADDERS B. Venkata Sreecharan 1, C. Venkata Sudhakar 2 1 M.TECH (VLSI DESIGN)

More information

Numbers and Arithmetic

Numbers and Arithmetic Numbers and Arithmetic See: P&H Chapter 2.4 2.6, 3.2, C.5 C.6 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register file alu

More information

This Unit: Arithmetic. CIS 371 Computer Organization and Design. Pre-Class Exercise. Readings

This Unit: Arithmetic. CIS 371 Computer Organization and Design. Pre-Class Exercise. Readings This Unit: Arithmetic CI 371 Computer Organization and Design Unit 3: Arithmetic Based on slides by Prof. Amir Roth & Prof. Milo Martin App App App ystem software Mem CPU I/O A little review Binary + 2s

More information

CSEE 3827: Fundamentals of Computer Systems. Combinational Circuits

CSEE 3827: Fundamentals of Computer Systems. Combinational Circuits CSEE 3827: Fundamentals of Computer Systems Combinational Circuits Outline (M&K 3., 3.3, 3.6-3.9, 4.-4.2, 4.5, 9.4) Combinational Circuit Design Standard combinational circuits enabler decoder encoder

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization pring 201 More inary rithmetic LU 1 nnouncements Lab 4 prelab () due tomorrow Lab 5 to be released tonight 2 Example: Fixed ize 2 C ddition White stone =

More information

Computer Architecture. ESE 345 Computer Architecture. Design Process. CA: Design process

Computer Architecture. ESE 345 Computer Architecture. Design Process. CA: Design process Computer Architecture ESE 345 Computer Architecture Design Process 1 The Design Process "To Design Is To Represent" Design activity yields description/representation of an object -- Traditional craftsman

More information

PIPELINED DIVISION OF SIGNED NUMBERS WITH THE USE OF RESIDUE ARITHMETIC FOR SMALL NUMBER RANGE WITH THE PROGRAMMABLE GATE ARRAY

PIPELINED DIVISION OF SIGNED NUMBERS WITH THE USE OF RESIDUE ARITHMETIC FOR SMALL NUMBER RANGE WITH THE PROGRAMMABLE GATE ARRAY POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 76 Electrical Engineering 03 Robert SMYK* Zenon ULMAN* Maciej CZYŻAK* PIPELINED DIVISION OF SIGNED NUMBERS WITH THE USE OF RESIDUE ARITHMETIC FOR

More information

Numbers & Arithmetic. Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. See: P&H Chapter , 3.2, C.5 C.

Numbers & Arithmetic. Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. See: P&H Chapter , 3.2, C.5 C. Numbers & Arithmetic Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University See: P&H Chapter 2.4-2.6, 3.2, C.5 C.6 Example: Big Picture Computer System Organization and Programming

More information

Arithmetic in Integer Rings and Prime Fields

Arithmetic in Integer Rings and Prime Fields Arithmetic in Integer Rings and Prime Fields A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 FA C 3 FA C 2 FA C 1 FA C 0 C 4 S 3 S 2 S 1 S 0 http://koclab.org Çetin Kaya Koç Spring 2018 1 / 71 Contents Arithmetic in Integer

More information

L8/9: Arithmetic Structures

L8/9: Arithmetic Structures L8/9: Arithmetic Structures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Rex Min Kevin Atkinson Prof. Randy Katz (Unified Microelectronics

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Signed Numbers CprE 281: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

Lag-Lead Compensator Design

Lag-Lead Compensator Design Lag-Lead Compenator Deign ELEC 3 Spring 08 Lag or Lead Struture A bai ompenator onit of a gain, one real pole and one real zero Two type: phae-lead and phae-lag Phae-lead: provide poitive phae hift and

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

Combinational Logic Design Arithmetic Functions and Circuits

Combinational Logic Design Arithmetic Functions and Circuits Combinational Logic Design Arithmetic Functions and Circuits Overview Binary Addition Half Adder Full Adder Ripple Carry Adder Carry Look-ahead Adder Binary Subtraction Binary Subtractor Binary Adder-Subtractor

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

Lecture 4. Adders. Computer Systems Laboratory Stanford University

Lecture 4. Adders. Computer Systems Laboratory Stanford University Lecture 4 Adders Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2006 Mark Horowitz Some figures from High-Performance Microprocessor Design IEEE 1 Overview Readings Today

More information

Logic and Computer Design Fundamentals. Chapter 5 Arithmetic Functions and Circuits

Logic and Computer Design Fundamentals. Chapter 5 Arithmetic Functions and Circuits Logic and Computer Design Fundamentals Chapter 5 Arithmetic Functions and Circuits Arithmetic functions Operate on binary vectors Use the same subfunction in each bit position Can design functional block

More information

Hw 6 due Thursday, Nov 3, 5pm No lab this week

Hw 6 due Thursday, Nov 3, 5pm No lab this week EE141 Fall 2005 Lecture 18 dders nnouncements Hw 6 due Thursday, Nov 3, 5pm No lab this week Midterm 2 Review: Tue Nov 8, North Gate Hall, Room 105, 6:30-8:30pm Exam: Thu Nov 10, Morgan, Room 101, 6:30-8:00pm

More information

Full Adder Ripple Carry Adder Carry-Look-Ahead Adder Manchester Adders Carry Select Adder

Full Adder Ripple Carry Adder Carry-Look-Ahead Adder Manchester Adders Carry Select Adder Outline E 66 U Resources: dders & Multipliers Full dder Ripple arry dder arry-look-head dder Manchester dders arry Select dder arry Skip dder onditional Sum dder Hybrid Designs leksandar Milenkovic E-mail:

More information

Sidelobe-Suppression Technique Applied To Binary Phase Barker Codes

Sidelobe-Suppression Technique Applied To Binary Phase Barker Codes Journal of Engineering and Development, Vol. 16, No.4, De. 01 ISSN 1813-78 Sidelobe-Suppreion Tehnique Applied To Binary Phae Barker Code Aitant Profeor Dr. Imail M. Jaber Al-Mutaniriya Univerity College

More information

COMPUTERS ORGANIZATION 2ND YEAR COMPUTE SCIENCE MANAGEMENT ENGINEERING UNIT 3 - ARITMETHIC-LOGIC UNIT JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

COMPUTERS ORGANIZATION 2ND YEAR COMPUTE SCIENCE MANAGEMENT ENGINEERING UNIT 3 - ARITMETHIC-LOGIC UNIT JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ OMUTERS ORGANIZATION 2ND YEAR OMUTE SIENE MANAGEMENT ENGINEERING UNIT 3 - ARITMETHI-LOGI UNIT JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. Arithmetic Logic Unit

More information

CSE477 VLSI Digital Circuits Fall Lecture 20: Adder Design

CSE477 VLSI Digital Circuits Fall Lecture 20: Adder Design CSE477 VLSI Digital Circuits Fall 22 Lecture 2: Adder Design Mary Jane Irwin ( www.cse.psu.edu/~mji ) www.cse.psu.edu/~cg477 [Adapted from Rabaey s Digital Integrated Circuits, 22, J. Rabaey et al.] CSE477

More information

VLSI Design. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1

VLSI Design. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1 VLSI Design Adder Design [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1 Major Components of a Computer Processor Devices Control Memory Input Datapath

More information

Power-speed Trade-off in Parallel Prefix Circuits

Power-speed Trade-off in Parallel Prefix Circuits Power-speed Trade-off in Parallel Prefix Ciruits ITCom 00 High-Performane Pervasive Computing Conferene Boston, MA July 9 August, 00 by S. Vanihayobon, S. K. Dhall, S. Lakshmivarahan, J. K. Antonio Shool

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

Overview. Arithmetic circuits. Binary half adder. Binary full adder. Last lecture PLDs ROMs Tristates Design examples

Overview. Arithmetic circuits. Binary half adder. Binary full adder. Last lecture PLDs ROMs Tristates Design examples Overview rithmetic circuits Last lecture PLDs ROMs Tristates Design examples Today dders Ripple-carry Carry-lookahead Carry-select The conclusion of combinational logic!!! General-purpose building blocks

More information

Numbers and Arithmetic

Numbers and Arithmetic Numbers and Arithmetic See: P&H Chapter 2.4 2.6, 3.2, C.5 C.6 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register file alu

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

To determine the biasing conditions needed to obtain a specific gain each stage must be considered.

To determine the biasing conditions needed to obtain a specific gain each stage must be considered. PHYSIS 56 Experiment 9: ommon Emitter Amplifier A. Introdution A ommon-emitter oltage amplifier will be tudied in thi experiment. You will inetigate the fator that ontrol the midfrequeny gain and the low-and

More information

Computer Architecture 10. Fast Adders

Computer Architecture 10. Fast Adders Computer Architecture 10 Fast s Ma d e wi t h Op e n Of f i c e. o r g 1 Carry Problem Addition is primary mechanism in implementing arithmetic operations Slow addition directly affects the total performance

More information

EECS150 - Digital Design Lecture 24 - Arithmetic Blocks, Part 2 + Shifters

EECS150 - Digital Design Lecture 24 - Arithmetic Blocks, Part 2 + Shifters EECS150 - Digital Design Lecture 24 - Arithmetic Blocks, Part 2 + Shifters April 15, 2010 John Wawrzynek 1 Multiplication a 3 a 2 a 1 a 0 Multiplicand b 3 b 2 b 1 b 0 Multiplier X a 3 b 0 a 2 b 0 a 1 b

More information

Arithmetic Circuits-2

Arithmetic Circuits-2 Arithmetic ircuits-2 Multipliers Array multipliers hifters Barrel shifter Logarithmic shifter EE 261 Krish hakrabarty 1 Binary Multiplication X = Σ X i 2 i i=0 Multiplicand M-1 N-1 Y = Σ Y i 2 i i=0 Multiplier

More information

Laplace Transformation

Laplace Transformation Univerity of Technology Electromechanical Department Energy Branch Advance Mathematic Laplace Tranformation nd Cla Lecture 6 Page of 7 Laplace Tranformation Definition Suppoe that f(t) i a piecewie continuou

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad LINEAR CONTROL SYSTEMS Ali Karimour Aoiate Profeor Ferdowi Univerity of Mahhad Leture 0 Leture 0 Frequeny domain hart Toi to be overed inlude: Relative tability meaure for minimum hae ytem. ain margin.

More information

Module 2. Basic Digital Building Blocks. Binary Arithmetic & Arithmetic Circuits Comparators, Decoders, Encoders, Multiplexors Flip-Flops

Module 2. Basic Digital Building Blocks. Binary Arithmetic & Arithmetic Circuits Comparators, Decoders, Encoders, Multiplexors Flip-Flops Module 2 asic Digital uilding locks Lecturer: Dr. Yongsheng Gao Office: Tech 3.25 Email: Web: Structure: Textbook: yongsheng.gao@griffith.edu.au maxwell.me.gu.edu.au 6 lecturers 1 tutorial 1 laboratory

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Advanced Digital Signal Processing. Stationary/nonstationary signals. Time-Frequency Analysis... Some nonstationary signals. Time-Frequency Analysis

Advanced Digital Signal Processing. Stationary/nonstationary signals. Time-Frequency Analysis... Some nonstationary signals. Time-Frequency Analysis Advanced Digital ignal Proceing Prof. Nizamettin AYDIN naydin@yildiz.edu.tr Time-Frequency Analyi http://www.yildiz.edu.tr/~naydin 2 tationary/nontationary ignal Time-Frequency Analyi Fourier Tranform

More information

EE141-Fall 2010 Digital Integrated Circuits. Announcements. An Intel Microprocessor. Bit-Sliced Design. Class Material. Last lecture.

EE141-Fall 2010 Digital Integrated Circuits. Announcements. An Intel Microprocessor. Bit-Sliced Design. Class Material. Last lecture. EE4-Fall 2 Digital Integrated ircuits dders Lecture 2 dders 4 4 nnouncements Midterm 2: Thurs. Nov. 4 th, 6:3-8:pm Exam starts at 6:3pm sharp Review session: Wed., Nov. 3 rd, 6pm n Intel Microprocessor

More information

COMM 602: Digital Signal Processing. Lecture 8. Digital Filter Design

COMM 602: Digital Signal Processing. Lecture 8. Digital Filter Design COMM 60: Digital Signal Proeing Leture 8 Digital Filter Deign Remember: Filter Type Filter Band Pratial Filter peifiation Pratial Filter peifiation H ellipti H Pratial Filter peifiation p p IIR Filter

More information

A Study on Simulating Convolutional Codes and Turbo Codes

A Study on Simulating Convolutional Codes and Turbo Codes A Study on Simulating Convolutional Code and Turbo Code Final Report By Daniel Chang July 27, 2001 Advior: Dr. P. Kinman Executive Summary Thi project include the deign of imulation of everal convolutional

More information

EE260: Digital Design, Spring n Digital Computers. n Number Systems. n Representations. n Conversions. n Arithmetic Operations.

EE260: Digital Design, Spring n Digital Computers. n Number Systems. n Representations. n Conversions. n Arithmetic Operations. EE 260: Introduction to Digital Design Number Systems Yao Zheng Department of Electrical Engineering University of Hawaiʻi at Mānoa Overview n Digital Computers n Number Systems n Representations n Conversions

More information

Class Website:

Class Website: ECE 20B, Winter 2003 Introduction to Electrical Engineering, II LECTURE NOTES #5 Instructor: Andrew B. Kahng (lecture) Email: abk@ece.ucsd.edu Telephone: 858-822-4884 office, 858-353-0550 cell Office:

More information

Modeling and Simulation of Buck-Boost Converter with Voltage Feedback Control

Modeling and Simulation of Buck-Boost Converter with Voltage Feedback Control MATE Web of onferene 3, 0006 ( 05) DOI: 0.05/ mateonf/ 053 0006 Owned by the author, publihed by EDP Siene, 05 Modeling and Simulation of BukBoot onverter with oltage Feedbak ontrol Xuelian Zhou, Qiang

More information

Lecture 3 Review on Digital Logic (Part 2)

Lecture 3 Review on Digital Logic (Part 2) Lecture 3 Review on Digital Logic (Part 2) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ircuit Optimization Simplest implementation ost criterion literal

More information

Combinational Logic. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C.

Combinational Logic. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Combinational Logic ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Combinational Circuits

More information

CSE370 HW3 Solutions (Winter 2010)

CSE370 HW3 Solutions (Winter 2010) CSE370 HW3 Solutions (Winter 2010) 1. CL2e, 4.9 We are asked to implement the function f(a,,c,,e) = A + C + + + CE using the smallest possible multiplexer. We can t use any extra gates or the complement

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 23 Digital Logic & Computer Organization Spring 28 Combinational Building Blocks Lecture 5: Announcements Lab 2 prelab due tomorrow HW due Friday HW 2 to be posted on Thursday Lecture 4 to be replayed

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10,

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10, A NOVEL DOMINO LOGIC DESIGN FOR EMBEDDED APPLICATION Dr.K.Sujatha Associate Professor, Department of Computer science and Engineering, Sri Krishna College of Engineering and Technology, Coimbatore, Tamilnadu,

More information

CMP 334: Seventh Class

CMP 334: Seventh Class CMP 334: Seventh Class Performance HW 5 solution Averages and weighted averages (review) Amdahl's law Ripple-carry adder circuits Binary addition Half-adder circuits Full-adder circuits Subtraction, negative

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 4 Following the slides of Dr. Ahmed H. Madian محرم 439 ه Winter 28

More information

What s the Deal? MULTIPLICATION. Time to multiply

What s the Deal? MULTIPLICATION. Time to multiply What s the Deal? MULTIPLICATION Time to multiply Multiplying two numbers requires a multiply Luckily, in binary that s just an AND gate! 0*0=0, 0*1=0, 1*0=0, 1*1=1 Generate a bunch of partial products

More information

Part II Addition / Subtraction

Part II Addition / Subtraction Part II Addition / Subtraction Parts Chapters I. Number Representation 1. 2. 3. 4. Numbers and Arithmetic Representing Signed Numbers Redundant Number Systems Residue Number Systems Elementary Operations

More information

Looking at a two binary digit sum shows what we need to extend addition to multiple binary digits.

Looking at a two binary digit sum shows what we need to extend addition to multiple binary digits. A Full Adder The half-adder is extremely useful until you want to add more that one binary digit quantities. The slow way to develop a two binary digit adders would be to make a truth table and reduce

More information

3. Combinational Circuit Design

3. Combinational Circuit Design CSEE 3827: Fundamentals of Computer Systems, Spring 2 3. Combinational Circuit Design Prof. Martha Kim (martha@cs.columbia.edu) Web: http://www.cs.columbia.edu/~martha/courses/3827/sp/ Outline (H&H 2.8,

More information

ECE 645: Lecture 2. Carry-Lookahead, Carry-Select, & Hybrid Adders

ECE 645: Lecture 2. Carry-Lookahead, Carry-Select, & Hybrid Adders ECE 645: Lecture 2 Carry-Lookahead, Carry-Select, & Hybrid Adders Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design Chapter 6, Carry-Lookahead Adders Sections 6.1-6.2.

More information