A Gate-Delay Model for High-Speed CMOS Circuits *

Size: px
Start display at page:

Download "A Gate-Delay Model for High-Speed CMOS Circuits *"

Transcription

1 Gate-Delay Model for High-Speed CMOS Circuits * Florentin Dartu, Noel Menezes, Jessica Qian, and Lawrence T Pillage Department of Electrical and Computer Engineering The University of Texas at ustin, ustin, Texas 787 bstract -- s signal speeds increase and delays decrease for high-performance digital integrated circuits, the delay modeling problem becomes increasingly more difficult With scaling, increasing interconnect resistances and decreasing output impedances make it more difficult to empirically characterize -delay models Moreover, the single-input-switching assumption for the empirical models is incompatible with the inevitable simultaneous switching for today s high-speed logic paths In this paper a new empirical delay model is proposed Instead of building the empirical equations in terms of capacitance loading and input-signal transition time, the models are generated in terms of parameters which combine the benefits of empirically derived k-factor models and switch-resistor models to efficiently: ) handle capacitance shielding due to metal interconnect resistance, ) model the C interconnect delay, and ) provide tighter bounds for simultaneous switching I INTODUCTION s the minimum-feature sizes for integrated circuits scale downward, the portion of the delays attributable to the s decreases, while the percentage of the delay due to the C interconnect increases It is reasonable to expect that a significant amount of the overall path delay is attributable to the C interconnect delay This relative increase in interconnect delay is mainly because the interconnect lengths do not generally scale due to increasing chip densities, while the C per unit length product for the interconnect actually increases with scaling due to the fringing field effects In addition, if the output impedances of the s are decreased with scaling, the increased metal resistance will shield some of the load capacitance from the, thereby lowering the delay Unfortunately, this shift in delay dominance makes it significantly more difficult to efficiently model the delays with empirical equations, since the loading is not purely capacitive lso, it is difficult for empirical delay models of the output signal delay and transition time to approximate the delay in the case of simultaneous switching, when more than one input switches, since these delays can be significantly different than the single input transition delays * This work was supported in part by IM Corporation, the Semiconductor esearch Corporation under contract 9-DJ- and the National Science Foundation under contract MIP-97 In this paper a new empirical delay model is proposed which accurately captures the delay while modeling the C shielding and the C interconnect delay This is done in terms of a fixed dc resistance model for the s output impedance, along with a timevarying voltage source input The resistance value is a function of the only, and there is a single resistance value for the pull-up path (there is a different one for the pull-down path) which is independent of the load and the input transition time The voltage source parameters in this model are empirically generated in terms of the input waveform(s) and the effective capacitance loading Extensions are proposed for the simultaneous switching problem and for estimating the and interconnect delay in terms of simple equations for higher level analyses in terms of the best- and worst-case switching II CKGOUND There are two approaches to delay modeling which have gained considerable acceptance: ) a switch-resistor model comprised of a linear resistor and a step function of voltage, and ) empirically derived expressions for delay and output-signal transition time as a function of load capacitance and input-signal transition time (k-factor equations) oth methods are empirically based, since even the second method requires empirical fitting to approximate the resistance value as a function of input transition time and output load k-factor models When the load is purely capacitive, one can completely precharacterize a s delay and output signal behavior as a function of input signal transition time, t in, and load capacitance, C L [9] The experimental data for the delay, t d, and the -output waveform transition time, t r or t f, are generally fitted to k-factor equations: t d = k( t in, C L ) and t r/f = k' ( t in, C L ) Fig typical -delay problem For today s technologies the loads cannot be modeled as purely capacitive due to the C interconnect, as shown in Fig Traditionally, the delay from to and output rise or fall time at in this figure would be calculated from the equations in () using the total load capacitance (the sum of all of the interconnect capacitance and the input capacitances at C and D) and the signal transition time at The C delay would then be analyzed separately using the waveform at which is characterized by the result from () This two-step delay approximation works well when the load seen by the is accurately approximated by the total capacitance of the net That is, the procedure described for Fig assumes that the driving point admittance of the interconnect is equal to the total capacitance It has been recognized, however, that this is an invalid assumption for today s high speed CMOS C D ()

2 It was first recognized for ECL s that the total capacitance was not a valid model of the load [] In [], the nd order driving point admittance was modeled as a π-circuit, as shown in Fig Computing and storing k-factor equations for loads which are modeled by a π-circuit would be prohibitively expensive In [], the ECL s are modeled by linear Thevenin equivalents which drive the π-circuit in Fig x(t) t x Fixed{ Gate v (t) Z L (s) C C Fig nd order driving point admittance model for the interconnect load at node x(t) t x Fixed{ v(t) v^ (t) ZL (s) Linear resistance model It would appear that the switch-resistor model is a more effective delay model when the load is not purely capacitive That is, the resistance model is able to capture the interaction of the s output resistance and the C load Modeling the as a single resistance in series with a voltage step permits the use of computational efficient C tree and mesh signal delay bounds [,] to approximate the complete and interconnect delay In addition, it is recognized that the Elmore delay [] facilitates the efficient incorporation of estimated C circuit delays at the synthesis and floor planning levels of design Higher-order C analyses, such as the symptotic Waveform Evaluation (WE) technique, can be used to generate an extremely accurate C delay approximation; however, the result is only as accurate as the switch-resistor model for the Timing analysis tools such as TV [] and Crystal [] were developed using switch-resistor models to analyze the transistor level circuit descriptions The main difficulty with these approaches is calculating a single linear resistor which captures the switching behavior of a CMOS ecognizing that this resistance is a function of the s input signal transition time and output load, in [], a single output resistance for the is empirically derived from something similar to a k-factor equation That is, the resistance is calculated as the average output impedance as a function of the input signal transition time and the output load This extension is advantageous from an accuracy standpoint; however, when the load is not purely capacitive, it has the same limitations as the k-factor model The model III HIGH-PEFOMNCE GTE DELY MODEL The proposed model is shown in Fig ramp-like input signal is assumed throughout this paper as in (); however, complex C loading is considered here The limitations of the ramp-like waveshape assumption are discussed in section VI The linear resistor is selected independent of the load and input waveform It is computed in a pre-characterization step based upon the maximum allowable capacitive load complete description of the calculation of is given in section IV This waveshape can be obtained by applying the actual output waveform, v (t), to the inverse transfer function: Figures and show the V id (t) waveshapes obtained for this model given a capacitance load and an C load, respectively lso shown in Figures and are the saturated ramp approximations of - V id (t) and the response waveforms that they produce From these examples it is apparent that the V id (t) waveshape is accurately modeled by a simple, linearized waveshape The model in use Fig The model proposed for the digital cells V H ( s) = Z L ( s) egion egion egion C ctual output Linearized v(t) Ideal v(t) Fig esults obtained for an inverter with a pf load Similar to the equations in (), each is precharacterized by k- factor-like coefficients for the functions that define t and t of the voltage source: () To begin, we note the transfer function of the model in Fig is: H( s) V^( s) = = V( s) Z L ( s ) Z L ( s) + () t = f( t in, C L ) t = g( t in, C L ) t t () The ideal waveshape for this voltage source model (V id (t)) would be the one which produces the same output waveform as the itself single resistance value,, for the pull-up or pull-down path of the is also calculated

3 ctual output Linearized v(t) Ideal v(t) Go to step until convergence is reached + - I π C C + - Fig The averaging of the load currents I Ceff C eff - Fig esults obtained when an inverter drives a π-load with C =pf, C =pf, =89Ω When the load is not purely capacitive, it was shown in [] that a π-load represents a very accurate approximation of the CMOS C-interconnect load It was also shown that a nd order model is guaranteed to exist [] Since (), as (), is not valid for non-capacitive loads, we propose a method for mapping the π-circuit to a unique capacitance value which can then be used to determine (via ()) the values of t and t This capacitance is similar to the effective capacitance (C eff ) described in [] asically, we maintain the principle of equating the average current drawn by the effective capacitance and the π-circuit in the active region We differ from the approach in [] in our definition of the active region -- the time period over which the (the MOS transistors) can be naturally modeled as an average current In [], the active region was artificially terminated at the % point thereby ignoring the influence of the input waveform and load on the duration of the active region Our model uses egion from Fig as a more natural time period for the averaging process procedure to compute the voltage source parameters (through C eff ) is: Use min(c tot, C max ) as an initial estimate for C eff Compute t from () assuming C L = C eff Find the new C eff by equating the average current drawn from the voltage source (Fig ) in t time (egion ) by C eff and the π- load: I π = I Ceff These currents are given by: I π = t ---- p e p t D ( ) ---- p e p t V dd + + ( ) ( t) I C = eff t C eff t ( C eff ) V e d C eff dd ( t) where z z p z p = = D = p p p ( p p ) p ( p p ) and z, p, p are the zero and the two poles respectively of the transfer function (V o (s)-v(s))/v(s) () () (7) Steps through describe a simple fixed-point iteration procedure Fig 7 depicts a typical plot of the solutions of () for different values of t using t = g(t in,c L ) from () The intersection of these two curves represents the solution From the graph we observe that a Newton-aphson procedure to compute C eff would converge within fewer iterations For this reason we use N- iteration in practice We C eff Solution curv e of () for different t The curve t=g(t in,c eff ) from () the solution t (ns) Fig 7 Graphical representation of the iteration procedure for the result in Fig also note that by taking min(c tot, C max ) as an initial estimate for C eff, we never pass through an intermediate solution smaller than C eff We should point out that the C eff from Fig is the same as C L in Fig Therefore, the voltage source models in Figures and are identical, even though the response waveform are vastly different IV COMPUTTION OF THE MODEL PMETES n implicit assumption in our model is that the behavior of a can be classified into three operating regions (shown explicitly in Fig ) Consequently, the model offers three degrees of freedom for a best fit of the experimental data The driver s resistance t for the π-load Using the model in Fig, we are modeling the last operating region (egion C in Fig ) as a linear resistor connected to ground (for a falling transition) or V dd (for a rising transition) This is a refinement over switch-level simulators that use a linear resistor to model the over all operating regions Our solution is more accurate due to the reduced swing in the output voltage over which we linearize the output resistance We precharacterize while making the following observations (exemplified for a falling transition): For purely capacitive loads, a larger load capacitance will drive the into egion C earlier (larger initial voltage for region C) The average output resistance increases with the increase in the initial voltage

4 The final portion of the tail (when the output voltage is less than some voltage, V min ) is of no importance for delay and output slope computation Taking this portion of the waveform into consideration would artificially decrease the output resistance resulting in greater inaccuracy in the region of interest Increasing causes the output waveform to shift in a pessimistic direction We, therefore, fit the resistor value based on the largest load capacitance, C max, encountered by the driver in an actual circuitthe model output voltage in region C is given by: t t t v o () t V e d C = L (8) ctual output (d=) (d=) (d=) The two unknowns -- V and -- require us to provide two constraints The first constraint is the Least-Mean-Squared (LMS) best fit of the actual data windowed between two time points (at which the output voltage equal V and % of the V dd, respectively) The other constraint is that there exists a set of model parameters that will fit the %, % points of the output waveform as well as V Finding a solution for the above system can be viewed as an iterative process (again, this procedure is described for a falling transition) during precharacterization: Perform a SPICE analysis with the maximum allowable load capacitance to obtain the vector of the output voltage, v[n], and the corresponding vector of the discrete time points t[n] (n represents the n-th time point) Choose an initial value for V (V dd / is a good choice) Find the smallest k such that v[k] < V and the smallest m such that v[m] < V dd Compute to obtain a least-squares fit of the data with (8) m V t [] i ln v [] i i k = C L max m t [] j j k Using this value of, compute t and t in the manner described in the following subsection Find the smallest k such that t[k] > t + t 7 Go to step until convergence is reached This procedure usually converges within two or three iterations For the NND in Fig 7 an of Ω was obtained The response is compared with SPICE in Fig 8 We should point out that the delay approximation is fairly insensitive to the value of as shown in Fig 8 This is because the voltage source specifies the correct average current for egion, for any Using a large or a small will mainly impact the tail portion of the waveform (egion C) The empirical characterization of the One of the most obvious advantages of this model is the replacement of the output voltage (which grows further away from a digital shape with increasingly finer feature sizes) with a more digital waveform To take full advantage of this feature of the model, we propose the replacement of the ubiquitous k-factor equations in () (9) Fig 8 esults obtained for a NND driving a π-load with C =7pF, C =pf and =Ω when the model is precharacterized using different resistance values with the empirical characterization of the voltage source parameters, t and t, in equation () The basic approach used to obtain the empirical equations remains unchanged -- perform SPICE runs for different input transition times and different load capacitances However, instead of recording the output voltage parameters, t d and t r, we record parameters of the ideal voltage source voltage, v id (t) Unlike the output voltage, the ideal voltage source is not an observable waveform Fortunately, it can be easily derived from the SPICE simulation itself For a purely capacitive load: V id ( s) = V o ( s) ( + s C L ) which can be rewritten in the time domain as: () d v id () t = v o () t + C L vo () t = v () dt o () t + i CL () t where i is the current through the load capacitance -- a quantity available from the SPICE CL () t simulation lthough the ramp voltage can be characterized in the same manner as the output voltage in the k-factor equations in () (eg by the %-delay and the -9% slope) we prefer a slight modification Firstly, we do not characterize the %-delay since it has no physical significance now Secondly, we apply a correction to the t and t obtained from the -9% levels of V id (t) so as to match the % and % levels of the output voltage This correction, which provides an increased level of confidence in the new model precision, requires solving two nonlinear equations in two unknowns This iterative computation during precharacterization is efficient since the t and t of V (t), which is a good approximation of the corrected waveform, serve as excellent initial values Linearizing V id (t) id by the straight line passing through the % and 8% points is not as accurate V EXMPLES There are applications that require empirical models for complex cells (eg flip-flops, latches, etc) and/or multiple inputs s (eg n-inputs NND s) The complex cell case proved to be easily solvable by the method proposed in this paper Fig 9 presents the

5 result obtained using this model for a D-latch The comparison is ctual output One input active Voltage source models Fig 9 esults obtained for a D-latch drving a π-load with C =pf, C =pf and =89Ω between the actual SPICE result with an edge on CLK and that obtained with our model Two C eff iterations were required ccurate modeling of simultaneous switching is extremely difficult due to the ambiguity of the signal waveshapes and arrival times With the model proposed here, however, we can easily bound the simultaneous switching response on both sides, and in the process demonstrate the sensitivity of the delay to the number of inputs switching s an example, an empirical model was developed (following the steps outlined in Section IV) for a two-input NND with both inputs tied together and for one input switching alone (with the other input set to the proper sensitization value) The voltage source waveshapes and the response waveforms, as they compare with SPICE, are shown in Fig oth models use the same pull-down resistance, but notice that for a falling transition the voltage source delay is smaller and the transition time is shorter for the single input transition as compared to the same input signal arriving at both inputs simultaneously For the arrival of two signals with different waveshapes, the voltage source model for the two inputs tied together is a worst case response if the slower of the two edges is used in the empirical equation Similarly, the single input transition response with the slower of the two transition times is an optimistic prediction for the delay VI FUTUE WOK ND CONCLUSIONS We have presented a delay modeling approach that works extremely well for the highly-resistive interconnect loads present in today's CMOS circuits We observe that this approach accurately captures the non-digital behavior of the output waveforms The parameters for this model can be precharacterized efficiently We have also demonstrated the potential capabilities of this model for bounding the simultaneous switching delay In addition, it should be noted that since the resistor for the model is fixed, one can completely precharacterize the C loading and the in terms of dominant time constants following extraction The only parameter which varies during timing analysis is the voltage source slope and delay This facilitates the use of an extremely efficient delay equation for the and the interconnect Using worst-case (or best-case) voltage source parameters one can also use this model to "estimate" the delay at a very high level of design such as floor planning This model provides the foundation for several directions of future work First, we plan to provide more formal assertions regarding the bounds for simultaneous switching Secondly, we plan to develop metrics and bounds so that this model can be used with Inputs tied together Fig esults obtained for a NND driving a π-load with C =7pF, C =pf and =Ω wiring estimates and loading estimates for high-level delay estimation Finally, and perhaps most importantly, with this model the largest delay modeling error is now incurred when we attempt to apply the fan-out waveforms to subsequent stages Since these waveshapes no longer appear digital, substantial error is incurred when we model them as linear We will work on a more accurate, yet efficient, waveshape model which is compatible with this empirically-based delay methodology EFEENCES [] J ubenstein, P Penfield, Jr, and M Horowitz, Signal delay in C tree networks, IEEE Trans Computer-ided Design, vol CD-, pp -, July 98 [] J L Wyatt, Jr, Signal delay in C mesh networks, IEEE Trans on Circuits and Systems, vol CS-, no, pp 7-, May 98 [] W C Elmore, The transient response of damped linear networks with particular regard to wide-band amplifiers, J pplied Physics, vol 9, no, pp -, Jan 98 [] N Jouppi, Timing analysis and performance improvement of MOS VLSI designs, IEEE Trans Computer-ided Design, vol CD-, pp-, July 987 [] J K Ousterhout, switch-level timing verifier for digital MOS VLSI, IEEE Trans Computer-ided Design, vol CD-, no, pp -9, July 98 [] P O rien and TL Savarino, Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation, Proc IEEE Intl Conf Computer-ided Design, November 989 [7] CL atzlaff, N Gopal, and LT Pillage, ICE: apid Interconnect Circuit Evaluator, Proc 8th CM/IEEE Design utomation Conference, June 99 [8] LT Pillage and ohrer, symptotic waveform evaluation for timing analysis, IEEE Trans Computer-ided Design, pril, 99 [9] N H E Weste and K Eshraghian, Principles of CMOS VLSI Design, nd edition, ddison-wesley Publishing Company, Empirical Delay Models, pp, 99 [] CL atzlaff, S Pullela, and LT Pillage, Modeling the C-interconnect effects in a hierarchical timing analysis, IEEE Custom Integrated Circuits Conference, May 99 [] N Gopal and L T Pillage, Evaluation of on-chip interconnect using moment matching, Proc of the Intl Conf on Computer-ided Design, November, 99 [] LM rocco, SP Mccormick and J llen, Macromodeling CMOS circuits for timing simulation, IEEE Trans Computer-ided Design, December 988

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * Noel Menezes, Ross Baldick, and Lawrence T. Pileggi Department of Electrical and Computer Engineering The University of

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

5. CMOS Gate Characteristics CS755

5. CMOS Gate Characteristics CS755 5. CMOS Gate Characteristics Last module: CMOS Transistor theory This module: DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Transistor ehavior 1) If the width of a transistor

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Peter Feldmann IBM T. J. Watson Research Center Yorktown Heights, NY Soroush Abbaspour, Debjit Sinha, Gregory

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto

Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto Switched-Capacitor Circuits David Johns and Ken Martin University of Toronto (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) University of Toronto 1 of 60 Basic Building Blocks Opamps Ideal opamps usually

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

EEE 421 VLSI Circuits

EEE 421 VLSI Circuits EEE 421 CMOS Properties Full rail-to-rail swing high noise margins» Logic levels not dependent upon the relative device sizes transistors can be minimum size ratioless Always a path to V dd or GND in steady

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics CMO Design Multi-input delay analysis pring 25 Transmission Gate OUT Z OUT Z pring 25 Transmission Gate OUT When is low, the output is at high impedance When is high, the output follows However,

More information

Delay and Power Estimation

Delay and Power Estimation EEN454 Digital Integrated ircuit Design Delay and Power Estimation EEN 454 Delay Estimation We would like to be able to easily estimate delay Not as accurate as simulation But make it easier to ask What

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA Gate Delay Calculation Considering the Crosstalk Capacitances Soroush Abbaspour and Massoud Pedram University of Southern California Los Angeles CA Asia and South Pacific Design Automation Conference 2004

More information

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B Using MOS Models C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 5.4 W&H 4.2 Background In the past two lectures we have reviewed the iv and CV curves for MOS devices, both

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Sinusoidal Steady State Analysis (AC Analysis) Part II

Sinusoidal Steady State Analysis (AC Analysis) Part II Sinusoidal Steady State Analysis (AC Analysis) Part II Amin Electronics and Electrical Communications Engineering Department (EECE) Cairo University elc.n102.eng@gmail.com http://scholar.cu.edu.eg/refky/

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

ECE 342 Solid State Devices & Circuits 4. CMOS

ECE 342 Solid State Devices & Circuits 4. CMOS ECE 34 Solid State Devices & Circuits 4. CMOS Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 34 Jose Schutt Aine 1 Digital Circuits V IH : Input

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Delay Modelling Improvement for Low Voltage Applications

Delay Modelling Improvement for Low Voltage Applications Delay Modelling Improvement for Low Voltage Applications J.M. Daga, M. Robert and D. Auvergne Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier LIRMM UMR CNRS 9928 Univ. Montpellier

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

Lecture 23. CMOS Logic Gates and Digital VLSI I

Lecture 23. CMOS Logic Gates and Digital VLSI I ecture 3 CMOS ogic Gates and Digital SI I In this lecture you will learn: Digital ogic The CMOS Inverter Charge and Discharge Dynamics Power Dissipation Digital evels and Noise NFET Inverter Cut-off Saturation

More information

DS0026 Dual High-Speed MOS Driver

DS0026 Dual High-Speed MOS Driver Dual High-Speed MOS Driver General Description DS0026 is a low cost monolithic high speed two phase MOS clock driver and interface circuit. Unique circuit design provides both very high speed operation

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect ISSN -77 (Paper) ISSN -87 (Online) Vol.4, No.7, - National Conference on Emerging Trends in Electrical, Instrumentation & Communication Engineering Closed Form Expressions for Delay to Ramp Inputs for

More information

Two-pole Analysis of Interconnection Trees *

Two-pole Analysis of Interconnection Trees * wo-pole Analysis of Interconnection rees * Andrew B. Kahng and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 90024-1596 USA Abstract We address the two-pole simulation of interconnect

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010

EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010 Signature: EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010 obert Dick Show your work. Derivations are required for credit; end results are insufficient. Closed book. No electronic mental

More information

Efficient Crosstalk Estimation

Efficient Crosstalk Estimation Efficient Crosstalk Estimation Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota, Minneapolis MN 55455, USA Email: fkuhlmann,

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

System on a Chip. Prof. Dr. Michael Kraft

System on a Chip. Prof. Dr. Michael Kraft System on a Chip Prof. Dr. Michael Kraft Lecture 3: Sample and Hold Circuits Switched Capacitor Circuits Circuits and Systems Sampling Signal Processing Sample and Hold Analogue Circuits Switched Capacitor

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic [dapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey,. Chandrakasan,. Nikolic] Sp11 CMPEN 411

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

Frequency Dependent Aspects of Op-amps

Frequency Dependent Aspects of Op-amps Frequency Dependent Aspects of Op-amps Frequency dependent feedback circuits The arguments that lead to expressions describing the circuit gain of inverting and non-inverting amplifier circuits with resistive

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Advanced Current Mirrors and Opamps

Advanced Current Mirrors and Opamps Advanced Current Mirrors and Opamps David Johns and Ken Martin (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) slide 1 of 26 Wide-Swing Current Mirrors I bias I V I in out out = I in V W L bias ------------

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Switching circuits: basics and switching speed

Switching circuits: basics and switching speed ECE137B notes; copyright 2018 Switching circuits: basics and switching speed Mark Rodwell, University of California, Santa Barbara Amplifiers vs. switching circuits Some transistor circuit might have V

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate EE4-Fall 2008 Digital Integrated Circuits Lecture VTCs and Delay Lecture # Announcements No lab today, Mon., Tues. Labs restart next week Midterm # Tues. Oct. 7 th, 6:30-8:00pm in 05 Northgate Exam is

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS CHAPTER 5 CMOS DIGITAL LOGIC CIRCUITS Chapter Outline 5. CMOS Logic Gate Circuits 5. Digital Logic Inverters 5.3 The CMOS Inverter 5.4 Dynamic Operation of the CMOS Inverter 5.5 Transistor Sizing 5.6 Power

More information

12. Introduction and Chapter Objectives

12. Introduction and Chapter Objectives Real Analog - Circuits 1 Chapter 1: Steady-State Sinusoidal Power 1. Introduction and Chapter Objectives In this chapter we will address the issue of power transmission via sinusoidal or AC) signals. This

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson

Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics. Lena Peterson Lecture 13 MOSFET as an amplifier with an introduction to MOSFET small-signal model and small-signal schematics Lena Peterson 2015-10-13 Outline (1) Why is the CMOS inverter gain not infinite? Large-signal

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

Lecture 6: Time-Dependent Behaviour of Digital Circuits

Lecture 6: Time-Dependent Behaviour of Digital Circuits Lecture 6: Time-Dependent Behaviour of Digital Circuits Two rather different quasi-physical models of an inverter gate were discussed in the previous lecture. The first one was a simple delay model. This

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Designing Combinational Logic Circuits Fuyuzhuo School of Microelectronics,SJTU Introduction Digital IC Dynamic Logic Introduction Digital IC 2 EE141 Dynamic

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester,

More information

DC & Transient Responses

DC & Transient Responses ECEN454 Digital Integrated Circuit Design DC & Transient Responses ECEN 454 DC Response DC Response: vs. for a gate Ex: Inverter When = -> = When = -> = In between, depends on transistor size and current

More information

Delay and Energy Consumption Analysis of Conventional SRAM

Delay and Energy Consumption Analysis of Conventional SRAM World Academy of Science, Engineering and Technology 13 8 Delay and Energy Consumption Analysis of Conventional SAM Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, and Ali Barati Abstract

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst,

More information