Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

Size: px
Start display at page:

Download "Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models"

Transcription

1 Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Peter Feldmann IBM T. J. Watson Research Center Yorktown Heights, NY Soroush Abbaspour, Debjit Sinha, Gregory Schaeffer, Revanta Banerji, Hemlata Gupta IBM Electronic Design Automation Hopewell Junction, NY Abstract This paper formalizes a family of logic gate characterization methods that result in Multiple Voltage Threshold Models MVTM. This modeling technique includes the existing industry standards, such as CCS and ECSM driver models as special cases. Based on this general model, the paper introduces a novel analysis algorithm for computing the output waveform of the driver gate loaded by an arbitrary interconnect circuit. The analysis technique relies solely on the primary MVTM characterization data and, unlike competing solutions, it does not require the explicit instantiation of controlled current source models, thus bypassing an intermediate modeling step. As a consequence, the method is more accurate, efficient and more general than the emerging industry standards. The theoretical results are validated by detailed simulations and through full chip timing analysis. I. INTRODUCTION Timing and noise analysis are the key verification steps in every design flow for ultra deep sub-micron UDSM VLSI circuits. In these applications a pre-characterized high level model of a logic gate loaded by an interconnect circuit is analyzed in order to determine delays through the various logic stages. Traditionally logic gates were modeled by ideal delays and their driving properties by simple Thevenin voltage sources. The signals were represented as idealized ramp shaped voltage waveforms. This approach has proven to be insufficiently accurate for timing and noise analysis purposes for chips implemented in the newest technologies. The main source of inaccuracy is the fact that the pre-characterization process can be practically performed only in terms of purely capacitive loads while the reality of the modern VLSI interconnect drifts further and further away from this assumption. On chip wires are highly resistive and even the inductive effects become significant at high frequencies. As a consequence the timing analysis algorithm has the challenge to adapt the pre-characterization data collected with just pure capacitive loading to the reality of RLC loads. The VLSI industry addressed this challenge mainly by introducing the concept of an effective capacitance that would capture the effect of a resistive-capacitive load 8], 9], 1]. The effective capacitance is a function of two parameters: 1 output voltage waveform of the driving gate and the characteristics of the load, more specifically the driving point admittance of the interconnect. Two gates are considered to be equivalent in terms of calculating effective capacitance if they produce the same output waveform when driving the same load 8]. As shown in Figure 1, a CMOS gate driving an RCπ load, will only see C n as its load if R π value goes to infinity. Fig. 1. A CMOS gate which drives an RCπ calculated load On the other hand, if R π goes to zero, then the gate will see C total = C n + C f. Therefore, the effective capacitance that the CMOS gate sees, can be written as: C eff = C n + k.c f, where < k < 1. Using a two-piece output waveform, Qian et al. proposed an effective capacitance calculation technique that approximates the output waveform of a CMOS gate 9]. The authors calculate the effective capacitance by equating the charges at the gate output when using the driving-point admittance of the load and using a single effective capacitance as the load. Average charges for both load models are equated until the gate output voltage reaches the 5% threshold. By using a table of circuit simulation results and a pair of two-dimensional delay tables, Macys et al. 8] calculated a value for the effective capacitance. In their work, the effective capacitance is a function of the total capacitance in the Π- model C total, the gate output slew rate, and the Elmore delay 5] of the load. Authors approximate the P i-model load with an effective capacitance such that the output voltage waveforms of the driving cell passes through some critical voltages e.g., and.75vdd at the same instances in time. In 1] the authors calculate an effective capacitance, which approximately matches both 5% propagation delay and the output transition time. All these approaches which produce just a single number, the effective capacitance, are bound to become inaccurate for the increasingly complicated interconnect models in advanced VLSI technologies. As a consequence, the recent trend in the industry is to adopt nonlinear driver modeling e.g., Controlled Current Source Models 4], 7] within timing and noise analysis engines. Examples are Cadence and Magma s effective current source model ECSM ] and Synopsys composite current source model CCS 1]. The ECSM model, for example, represents drivers as controlled current sources dependent on the driving point voltage and a so called dynamic capacitance: I = f I V, C. The

2 characterization process for this model is repeated simulations over ranges of ideal voltage ramp input excitations and pure capacitive loads. The results of these simulations are tabulated as driving point transition times as a function of voltage thresholds, and capacitive loads, T = f T V, C data. The controlled-current source model I = f I V, C model is obtained by fitting to the T = V, C data. CCS uses a similar characterization style; the main difference is that the characterization data is stored as current rather than voltage as a function of time and capacitive load. The two raw characterization data sets are essentially equivalent and can be mapped from one to another. Both ECSM and CCS modeling imply the transformation of raw modeling data, E.G., T = f T V, M into a nonlinear controlled current source device, e.g., I = f I V, C. This intermediate transformation incurs additional approximations and loss of accuracy. Moreover, these models require a relatively high degree of continuity and smoothness for accurate, reliable, and efficient timing and/or noise analysis. In this paper, we address these issues and propose a new analytical framework which is stable, efficient, accurate and extensible to a wide array of modeling techniques, including the abovementioned emerging industry standards. We introduce an algorithm for the simulation of a controlled current source driver model in conjunction with a linear interconnect RLC load. The algorithm advances on the voltage axis as opposed to the time axis and requires only the raw T = f T V, C-type driver characterization data in its original tabular format. The data transformation step and smoothing procedure involved in creating the intermediate current source model I = f I V, C, is eliminated and, as a consequence, the associated computational effort, and loss of accuracy is avoided. This novel algorithm has similar or superior efficiency to the ones that involve the generation of an explicit controlled current source model. II. DYNAMIC CAPACITANCE CONCEPT Most timing analysis methodologies used in practice in both past and present, pre-characterize and store in libraries the driving behaviour of logic gates. This behaviour is tabulated as functions of an input ramp signal of various transition times and a range of capacitive loads. The common problem facing all such methodologies is the fact that the true loads driven by the instances of the gate are not purely capacitive. Modern VLSI interconnect is highly resistive and even inductive effects are no longer negligible at high frequencies. As a consequence the timing analysis algorithm has the challenge to adapt the pre-characterization data collected with just pure capacitive loading to the reality of RLC loads. The traditional techniques abstracted the output waveform of a gate as an ideal voltage ramp, easily described by two parameters: the delay and the slew. Gate characterization consists of tabulating delay and slew of the voltage at the gate output as function of the gate input slew and a capacitive load. For general loads, these techniques use the concept of effective capacitance 9], 8], 1] and iteratively reduce the representation of a complicated RLC load to one single number, C eff. The recent controlled-current source models were introduced as a consequence of the fact that in stateof-the-art VLSI technologies such reduction can no longer be made without compromising analysis accuracy. The recent controlled-current source methods capture more detail of the output behaviour. The ECSM ] method and the technique presented in this paper tabulate a representation of the driving point voltage waveform as function of a load capacitance, and an input signal slew. The CCS 1] method tabulate a representation of the gate output current waveform as function of the same. In all cases the analysis algorithm must adapt to the real load typically represented by an RLC circuit. We now derive the theoretical foundation for this adaptation process, and in the sequel we will base an analysis algorithm on this foundation. Given that the input of the gate is assumed known, the result of timing analysis performed on the previous logic stage, we model the gate output as a nonlinear dynamic system with one state-variable. We conveniently chose this state variable as the gate output voltage, v. With such a model, the gate current is i = f d v, dv 1 dt In fact, the full transistor level equations for a CMOS inverter with known input will result in exactly this form. More complicated gates will have additional states corresponding to charges stored in internal nodes but they may still be approximated at least in a worst-case sense by such a model. Equation 1 constitutes a model which does not depend explicitly on the load attached at the gate output. Instead, the output voltage waveform will depend implicitly on the admittance of the load. When the load is a pure capacitance, C, as it happens during gate pre-characterization, we can rewrite the model equation as i = f d v, i C which can be solved formally to make i explicit i = f vc v, C Under mild assumptions, Equations 1 and are equivalent, the difference being essentially just a change of variables. The parameter C can be interpreted as a time varying instantaneous equivalent load capacitance Ct = it/ dv dt t which we denote as dynamic capacitance, C d t. Therefore parametrizing the output current waveform as a function of this instantaneous capacitive load is equivalent to assuming that the gate is described by the one-state nonlinear dynamical system in Equation 1. From a circuit simulation perspective, we would prefer modeling gates as dynamical systems, but for historical reasons, the timing community continues to use parametrization in terms of an equivalent capacitance.

3 Therefore the rest of the paper will carefully define and adopt C d for this purpose. It is interesting to note the connection between this newly defined dynamic capacitance and the effective capacitance used by traditional methods 9], 8], 1]. The effective capacitance for a voltage threshold V l, typically chosen as the mid-point of the full voltage swing, V 5%, satisfies by definition the relation Tl i o tdt = C e,l V l 3 where represents the threshold crossing time. For the case of MVTM analysis this definition introduces a sequence of effective capacitances each corresponding to a different threshold. Therefore we can write Tl i o tdt = i o tdt i o tdt = C e,l+1 V l+1 C e,l V l = C d,l V l+1 V l The result is an formula connecting the dynamic and effective capacitances C d,l = V l+1c e,l+1 V l C e,l V l+1 V l 4 III. DRIVER WAVEFORM COMPUTATION For the sequel of the paper we assume that gate characterization tabulates the output voltage waveforms as a function of input ramp slew and load capacitance. Further assuming that the output waveforms are monotonous, we can represent the waveforms as a sequence of crossing times corresponding to a sequence of voltage thresholds. Note that the monotonicity assumption is problematic for RLC circuits which may exhibit more complicated behaviour, e.g., ringing. We will not address this problem in this paper. For a given ramp slew value, the model captures the crossing times T i, of voltage thresholds V i, respectively, when the gate, loaded by an ideal capacitance, C l, performs a full transition T i C l = ΘV i, C l, i = 1,...,m, l = 1,...,n 5 Such a model is easily pre-characterized by performing full circuit simulation on the gate loaded by various pure capacitive loads, and excited by voltage ramps of a varying slew rates. The pre-characterization results can be stored in tabular form. In general, multiple tables will be needed for various input ramp slews. We denote this particular form of pre-characterization as Multiple Voltage Threshold Modeling MVTM. Based on the MVTM pre-characterized gate described above, we introduce a novel analysis algorithm that determines during timing analysis the waveform at the driving point of the gate loaded by an arbitrary interconnect circuit. The algorithm is restricted to monotonous output waveforms. We assume the input excitation slew known determined by the analysis of the previous stage. We first select and/or calculate by interpolation the MVTM table of Equation 5 corresponding to this slew. We take advantage of the assumption that the transition at the gate driving point is monotonic and proceed to calculate the times needed to cross a sequence of voltage thresholds. With no loss of generality, we analyze a rising transition at the driving point. Each step of the analysis determines the time, T i, for the driving point voltage to advance between successive voltage thresholds V l, V l+1. We impose for the voltage interval V l, V l+1 ] a charge equilibrium condition: the integral of the current supplied by the driver must be equal to the charge that flows into the load. The subsequent analysis is based on the additional assumption of a piecewise linear representation of the output voltage, i.e., the driving point voltage changes linearly between successive break-points V l and V l+1. We compute the charge supplied by the driver and obtain i o tdt = = i o t v vdt C d t V l+1 V l +1 dt i o tdt = V l+1 V l C d,l 6 where C d,l denotes the average dynamic capacitance exhibited by the gate between the two threshold crossings. We now derive an analytical expression for the charge flowing into the load. The load is fully characterized by the Laplace-domain admittance, Y s. Y s is determined from the linear interconnect circuit either directly, by model order reduction techniques, or in the form of a Π-model. The most general form that this admittance may take for an RLC circuit is k j Y s = k 1 s + k s j=1 From it we derive an expression for the current integral as a sum of charge states Tl i o tdt = j= 1 Q j,l Here Q 1,l corresponds to the term in s, the directly attached capacitance, Q,l corresponds to the integral of the DC current, equal to zero when the interconnect has no DC path to ground, and Q 1,l,...,Q N,l correspond to the d 1,..., d N time constants of the interconnect circuit. For timing analysis purposes, the state charges are conveniently expressed recursively, the state at the crossing of threshold V l+1, as a function of the state at the crossing of

4 threshold V l. Q 1,l+1 = Q 1,l + k 1 V l+1 V l 7 Q,l+1 = Q,l + 1 N k i V l+1 + V l +1 Q j,l+1 where i= = I j,l + Q j,l e Tl+1, j = 1,...,N I j,l = k j V l 1 e +1 ] + k j V l+1 V l 1 +1 ] 1 e T1+1 The detailed derivation of Equation 7 is straightforward and is omitted for conciseness. The charge flowing into the load is between voltage thresholds V l, V l+1 ] is i o tdt = Q j,l+1 Q j,l j= 1 and we equate it with the charge produced by the driver in Expression 6 to obtain the equation that needs to be solved for each new voltage threshold V l+1 C d,l V l+1 V l = k 1 V l+1 V l N k i V l+1 + V l +1 + j=1 i= I j,l + Q j,l e Tl+1 ] 1 This equation needs to be solved at each voltage threshold crossing, V l+1 assuming that a similar equation was solved at the previous crossing V l. The main unknown is the crossing time +1, but the dynamic capacitance is also unknown. Fortunately, we can write an additional equation from the driver model. We assume that between the crossing of thresholds V l and V l+1 the driver behaves as if loaded by a capacitive load equal to C d,l, i.e., +1 = ΘC d,l, V l+1 ΘC d,l, V l 9 The Equation 9 can be substituted in Equation 8 resulting in a single equation with a single unknown, C d,l, that can be solved numerically with a zero finding algorithm such as 3]. The new crossing time +1 results trivially from Equation 9 In the special case of a Π-model interconnect with parameters C n, R π, C f, k 1 = C n k =, k 1 = 1 and d 1 = R π C f R π the recursive state formulas 7 become Q 1,l+1 = Q 1,l + C n V l+1 V l 1 Q,l+1 = Q,l = Q j,l+1 = I j,l + Q j,l e Tl+1 and the Equation 8 to be solved for each new threshold voltage V l+1 becomes C d,l V l+1 V l = C n V l+l V l 11 + C f V l+1 V l 1 R πc f 1 e T1+1 ] +1 T l + C f V l 1 e Tl+1 ] + Q 1,l e Tl+1 1 IV. ALGORITHM The analysis presented in the previous section can be summarized by the following algorithm which will produce the crossing times for the voltage thresholds present in the MVTMs. 1 Set initial charge states Q 1 = Q = Q 1 =,...,Q N = Repeat for l = 1,...,M a Solve Equation 8 using, e.g., a Brent type zerofinding algorithm 3] C d,l V l+1 V l = k 1 V l+1 V l + 1 N k i V l+1 + V l +1 i= + I j,l + Q j,l e Tl+1 ] 1 j=1 after substituting +1 = ΘC d, V l+1 ΘC d, V l The substitution leaves C d,l as the only unknown in the equation. Upon convergence, we find its value. b The desired crossing time is trivially recovered +1 = ΘC d, V l+1 + ΘC d, V l c Finally we update interconnect charge states: Q 1,l+1 = Q 1,l + k 1 V l+1 V l Q,l+1 = Q,l + 1 N k i V l+1 + V l +1 i= Q j,l+1 = I j,l + Q j,l e Tl+1, j = 1,...,N and we advance to the next threshold value This algorithm avoids the time-domain integration that would be necessary for a straightforward controlled current source model. In that case the nonlinear equation of the model i = f vc v, c needs to be added to the time-domain differential equations of the linear interconnect, in full or reduced form and solved by various techniques, e.g., the one used by ]. This approach requires a smooth representation of the model, time-step control, etc. In contrast the algorithm proposed above uses the raw characterization data directly, avoiding the errors

5 introduced by the current-source modeling. The size and the number of steps is dictated solely by the accuracy of the model and not by the stiffness of the equation. V. RESULTS In order to verify the efficiency and accuracy of the proposed technique, the algorithm is applied first to small test cases two CMOS gates driving RC interconnect, then to large designs in 65nm technology. While the implemented method supports full RLC interconnect circuits with monotonic transitions, all the examples in the sequel have only RC interconnect. A. Small test case experimental setup For small test case experiments, we assume the circuit configuration shown in Figure. The circuit consists of a CMOS inverter followed by a CMOS gate which is chosen from the following set: {INVERTER, BUFFER, NAND, XOR}. Some important characteristics of the CMOS gates are shown in Table I. A fixed trapezoidal voltage waveform with the rising/falling transition time of ps is applied to the input pin of the first inverter driving a capacitive load C in, in addition to the CMOS gate under test. C in is used to control the voltage transition time at the input pin of the CMOS gate under test. To perform MVTM analysis, we employ a library of look-up tables containing the gate propagation delay and output voltage waveform V dd percentiles as functions of input transition time and output capacitive load. To confirm the advantage and stability of the proposed technique, For the interconnect portion of the simulation, we employ various RC topologies, that result in Π-models with a wide range of ratios between the effective near-end and far-end capacitances, C n, and C f, as well as a wide range of resistive shielding values. The value of total load resistance is chosen from the set R Π = {, 5, 1, 7, 1, 5}Ω and the total load capacitances chosen from the set C t = {4, 5, 6, 1, }ff. The voltage waveforms and their corresponding arrival times at the output terminal of the CMOS gates under test and the sink of the interconnect are determined successively by SPICE simulation, MVTM, and traditional gate timing analysis using the C eff technique. Since the CMOS gate library is characterized as a function of a ramp voltage waveform, a weighted least square fitting technique 6] has been used to approximate the arbitrary voltage waveform at the input pin of each gate with a saturated ramp waveform. Furthermore, a pole/residue representation of the interconnect transfer function has been used to propagate a piece-wise linear voltage waveform from the interconnect source to its sink terminals. The minimum, average, and maximum error for gate and interconnect propagation delay, interconnect source and sink 1 9% slew, as well as the time axis least square error of the corresponding voltage waveforms between SPICE vs. MVTM and SPICE vs. the C eff method are calculated and presented in Table II. Furthermore, the voltage waveform for some of these cases are shown in Figures 3-5. Experimental results confirm that MVTM is stable and accurate compared to the SPICE results for all the different Fig.. Experimental setup for small testcases. In this experiment, the CMOS gate under test is chosen from: {INVERTER, BUFFER, NAND, XOR} CMOS gate types INVERTER, BUFFER NAND, XOR Technology node 65nm Process variations Nominal condition Vdd 1. Volt Temp 85 o C Library characterized.,.1,.,.3,.4, threshold points v.5,.6,.7,.8,.9,.95 TABLE I LIBRARY CHARACTERISTICS FOR SMALL TESTCASE RESULTS circuit topologies and the different CMOS gate types. The MVTM waveforms and arrival times closely follow the SPICE simulation results, while the voltage waveforms and arrival times obtained from the C eff technique become quite inaccurate for certain loading conditions. B. Large designs experimental setup The proposed technique has been employed on several large high-performance industrial designs, including two 65nm technology microprocessor designs, denoted here as Design 1 and Design. Table III presents important statistics for these two designs. Two separate timing runs have been performed on these designs: 1 using traditional C eff technique, using MVTM technique. The arrival times and 1 9% transition times at every timing endpoint of the design in each run have been stored in a file and compared with each other. The statistics of the differences between the two runs are presented in Table IV. Next, the maximum differences between arrival times and slews were identified and SPICE simulation has been run on these identified circuits. It has been observed that the LSE error of the voltage waveforms using MVTM technique is within % of SPICE run. In addition, the comparison of the C eff and MVTM runs in Table IV shows that C eff technique is generally more pessimistic compared the MVTM and SPICE results. Moreover, as shown in Table III, the static timing analysis runtime using the MVTM technique is, on average, 5% longer than the corresponding timing run using the C eff technique, while the additional memory required by MVTM is about %. The memory increase is due to the fact that in MVTM, piecewise linear waveforms are stored and propagated in the timing graph instead of just delay and slews in the C eff run.

6 Fig. 3. In this experiment, the CMOS gate under test is a NAND. The effective C n = ff, effective C f = 48fF, and effective R π=5ω. This experiment shows that MVTM follows SPICE, while the C eff technique incures about % error in gate delay and about 4% in slew calculation. SPICE vs. MVTM SPICE vs. C eff Min/Avg/Max gate -4% / % / 4% -3% / 1% / % propagation delay error Min/Avg/Max % / 5% / 7% -1% / 15% / 8% source slew error Avg/Max LSE error 1% / 4% 1% / 4% at source timing point Min/Avg/Max interconnect -% / 1% / 3% -4% / 11% / 17% propagation delay error Min/Avg/Max % / % / 3% -8% / 1% / 3% sink slew error Avg/Max LSE error 1% / 3% 8% / 33% at sink timing point TABLE II MINIMUM, AVERAGE, AND MAXIMUM ERROR PERCENTAGE BETWEEN SPICE/MVTM/C EFF Design Gates Nets Runtime Runtime Mem. Mem. C eff MVTM C eff MVTM 1 1.1M 1.4M 1s 16s 3.1GB 3.7GB.M.3M 335s 3395s 6.3GB 7.9GB TABLE III 65NM MICROPROCESSOR DESIGN SPECIFICATIONS Fig. 4. In this experiment, the CMOS gate under test is an XOR. The effective C n = 5fF, effective C f = 5fF, and effective R π=5ω. Again, this experiment confirm that MVTM follows SPICE even when the effective C n is equal to its effective C f, while C eff technique is highly inaccurate. Fig. 5. In this experiment, the CMOS gate under test is a BUFFER. The effective C n = 4fF, effective C f = ff, and effective R π=3ω. To confirm the stability of MVTM for all different load topologies, the load is chosen such that its effective C n is greater than its effective C f. VI. CONCLUSION The paper introduced a novel timing analysis method of the driver gate and interconnect circuit. The analysis operates on logic gates described as Multiple Voltage Threshold Models MVTM, and a interconnect circuit driving point admittance described in pole/residue form. MVTMs are a formal category of model characterization techniques which include and generalize the existing industry standards. The analysis technique relies solely on the raw MVTM characterization data and does not require the explicit instantiation of controlled current Des- Compare No. of No. of Max Min Avg. ign type points diff. diff. diff. diff. 1 Arrival time..45 M.99 M 31.6ps 1.1ps.6ps Slew.45 M 1.9 M 1.4ps.5ps 5.3ps Arrival time M 1.3 M 45.3ps.8ps 3.1ps Slew 3.54 M 1.95 M TABLE IV 14.5ps.3ps 5.4ps STATISTICS OF THE DIFFERENCE BETWEEN MVTM RUN VS. C EFF RUN source models and, therefore, is more general, accurate, efficient. The experimental results presented in the paper confirm that the technique is as efficient as the traditional analysis based on Thevenin type models, while producing waveforms that are within 3% of Spice-level circuit simulation REFERENCES 1] Composite Current Source Model CCS - Synopsys web resource. faq.html. ] Effective Current Source Model ECSM - Cadence web resource. 3] R. Brent. An algorithm with guaranteed convergence for finding a zero of a function. The Computer Journal, 14:4 45, ] J. F. Croix and D. F. Wong. Blade and razor: cell and interconnect delay analysis using current based models. In Proceeding of ACM/IEEE Design Automation Conference, pages , 3. 5] W. C. Elmore. The transient response of damped linear networks with particular regard to wideband amplifiers. Journal of Applied Physics, 19:55 63, ] M. Hashimoto, Y. Yamada, and H. Onodera. Equivalent waveform propagation for static timing analysis. In Proceeding of IEEE International Conference on Computer Aided Design, pages , 3. 7] I. Keller, K. Tseng, and N. Verghese. A robust cell-level crosstalk delay change analysis. In Proceeding of IEEE International Conference on Computer Aided Design, pages , 4. 8] R. Macys and S. McCormick. A new algorithm for computing the effective capacitance in deep sub-micron circuits. In Proceeding of the IEEE Custom Integrated Circuits Conference, pages , ] J. Qian, S. Pullela, and L. T. Pillage. Modeling the effective capacitance for the RC interconnect of CMOS gates. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 13: , ] S.Abbaspour and M. Pedram. Calculating the effective capacitance for the RC interconnect in VDSM technologies. In Proceeding of Asia and South Pacific Design Automation Conference, pages 43 48, 3.

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA Gate Delay Calculation Considering the Crosstalk Capacitances Soroush Abbaspour and Massoud Pedram University of Southern California Los Angeles CA Asia and South Pacific Design Automation Conference 2004

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Efficient Crosstalk Estimation

Efficient Crosstalk Estimation Efficient Crosstalk Estimation Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota, Minneapolis MN 55455, USA Email: fkuhlmann,

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

A Gate-Delay Model for High-Speed CMOS Circuits *

A Gate-Delay Model for High-Speed CMOS Circuits * Gate-Delay Model for High-Speed CMOS Circuits * Florentin Dartu, Noel Menezes, Jessica Qian, and Lawrence T Pillage Department of Electrical and Computer Engineering The University of Texas at ustin, ustin,

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Predicting Short Circuit Power From Timing Models

Predicting Short Circuit Power From Timing Models Predicting Short Circuit Power From Timing Models Emrah Acar, Ravishankar Arunachalam* and Sani R. Nassif IBM Research, Austin *IBM Corporation (emrah, ravaru, nassif)@us.ibm.com 11501 Burnet Rd. Austin,

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

DISCRETE CONTROLLED PRE-DRIVER FIR MODEL FOR HYBRID IBIS MODEL AMS SIMULATION MAY 09, 2015, TURIN, ITALY

DISCRETE CONTROLLED PRE-DRIVER FIR MODEL FOR HYBRID IBIS MODEL AMS SIMULATION MAY 09, 2015, TURIN, ITALY DISCRETE CONTROLLED PRE-DRIVER FIR MODEL FOR HYBRID IBIS MODEL AMS SIMULATION IEEE Workshop on Signal and Power Integrity (SPI) MAY 09, 2015, TURIN, ITALY WAEL DGHAIS AND F. H. BELLAMINE waeldghais@ua.pt/wael.dghais@hotmail.co.uk

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect ISSN -77 (Paper) ISSN -87 (Online) Vol.4, No.7, - National Conference on Emerging Trends in Electrical, Instrumentation & Communication Engineering Closed Form Expressions for Delay to Ramp Inputs for

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Lecture 6: Time-Dependent Behaviour of Digital Circuits

Lecture 6: Time-Dependent Behaviour of Digital Circuits Lecture 6: Time-Dependent Behaviour of Digital Circuits Two rather different quasi-physical models of an inverter gate were discussed in the previous lecture. The first one was a simple delay model. This

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

Buffer Delay Change in the Presence of Power and Ground Noise

Buffer Delay Change in the Presence of Power and Ground Noise Buffer Delay Change in the Presence of Power and Ground Noise Lauren Hui Chen* Malgorzata Marek-Sadowska** Forrest Brewer** *Synopsys Inc. Mountain View CA USA ** Electrical and Computer Engineering Department

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits esign Perspective esigning Combinational Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit Out State Combinational

More information

EEE 421 VLSI Circuits

EEE 421 VLSI Circuits EEE 421 CMOS Properties Full rail-to-rail swing high noise margins» Logic levels not dependent upon the relative device sizes transistors can be minimum size ratioless Always a path to V dd or GND in steady

More information

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications M. C. Parameshwara 1,K.S.Shashidhara 2 and H. C. Srinivasaiah 3 1 Department of Electronics and Communication

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Driver Models For Timing And Noise Analysis

Driver Models For Timing And Noise Analysis Driver Models For Timing And Noise Analysis Bogdan Tutuianu and Ross Baldick Abstract: In the recent years, the impact of noise on chip level signals has become a significant source of static timing errors.

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Zhong Wang, Jianwen Zhu Electrical and Computer Engineering 1 King s College Road University of Toronto, Ontario M5S 3G4,

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Miller Factor for Gate-Level Coupling Delay Calculation

Miller Factor for Gate-Level Coupling Delay Calculation for Gate-Level Coupling Delay Calculation Pinhong Chen Desmond A. Kirkpatrick Kurt Keutzer Dept. of EECS Intel Corp. Dept. of EECS U. C. Berkeley icroprocessor Products Group U. C. Berkeley Berkeley, CA

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Lecture 1: Gate Delay Models

Lecture 1: Gate Delay Models High Speed CMOS VLSI Design Lecture 1: Gate Delay Models (c) 1997 David Harris 1.0 Designing for Speed on the Back of an Envelope Custom IC design is all about speed. For a small amount of money, one synthesize

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

Static Electromigration Analysis for Signal Interconnects

Static Electromigration Analysis for Signal Interconnects Static Electromigration Analysis for Signal Interconnects Chanhee Oh, David Blaauw*, Murat Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta** Motorola, Inc, Austin TX, *University of Michigan,

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Capacitance - 1 The parallel plate capacitor Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Charge separation in a parallel-plate capacitor causes an internal

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

ECE 342 Solid State Devices & Circuits 4. CMOS

ECE 342 Solid State Devices & Circuits 4. CMOS ECE 34 Solid State Devices & Circuits 4. CMOS Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 34 Jose Schutt Aine 1 Digital Circuits V IH : Input

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

Delay Modelling Improvement for Low Voltage Applications

Delay Modelling Improvement for Low Voltage Applications Delay Modelling Improvement for Low Voltage Applications J.M. Daga, M. Robert and D. Auvergne Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier LIRMM UMR CNRS 9928 Univ. Montpellier

More information

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Variability Aware Statistical Timing Modelling Using SPICE Simulations Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008 2 Contents List

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION Journal of Circuits, Systems, and Computers Vol. 8, No. 7 (29) 263 285 c World Scientific Publishing Company TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION GUOQING

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * Noel Menezes, Ross Baldick, and Lawrence T. Pileggi Department of Electrical and Computer Engineering The University of

More information

Robust Analytical Gate Delay Modeling for Low Voltage Circuits

Robust Analytical Gate Delay Modeling for Low Voltage Circuits Robust Analytical Gate Delay Modeling for Low Voltage Circuits Anand Ramalingam, Sreeumar V. Kodaara, Anirudh Devgan, and David Z. Pan Department of Electrical and Computer Engineering, The University

More information

Power Grid Analysis Based on a Macro Circuit Model

Power Grid Analysis Based on a Macro Circuit Model I 6-th Convention of lectrical and lectronics ngineers in Israel Power Grid Analysis Based on a Macro Circuit Model Shahar Kvatinsky *, by G. Friedman **, Avinoam Kolodny *, and Levi Schächter * * Department

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

Static Electromigration Analysis for On-Chip Signal Interconnects

Static Electromigration Analysis for On-Chip Signal Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 39 Static Electromigration Analysis for On-Chip Signal Interconnects David T. Blaauw, Member,

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Noise and Delay Uncertainty Studies for Coupled RC Interconnects

Noise and Delay Uncertainty Studies for Coupled RC Interconnects Noise and Delay Uncertainty Studies for oupled R Interconnects Andrew B. Kahng, Sudhakar Muddu and Devendra idhani ULA omputer Science Department, Los Angeles, A 995, abk@cs.ucla.edu Silicon Graphics,

More information

Static Timing Analysis Considering Power Supply Variations

Static Timing Analysis Considering Power Supply Variations Static Timing Analysis Considering Power Supply Variations Sanay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract Power supply integrity verification has become a key concern in high performance

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

A P-CELL APPROACH TO INTEGER GATE SIZING. A Thesis UDAY DODDANNAGARI

A P-CELL APPROACH TO INTEGER GATE SIZING. A Thesis UDAY DODDANNAGARI A P-CELL APPROACH TO INTEGER GATE SIZING A Thesis by UDAY DODDANNAGARI Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of MASTER

More information

Switching circuits: basics and switching speed

Switching circuits: basics and switching speed ECE137B notes; copyright 2018 Switching circuits: basics and switching speed Mark Rodwell, University of California, Santa Barbara Amplifiers vs. switching circuits Some transistor circuit might have V

More information

Two-pole Analysis of Interconnection Trees *

Two-pole Analysis of Interconnection Trees * wo-pole Analysis of Interconnection rees * Andrew B. Kahng and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 90024-1596 USA Abstract We address the two-pole simulation of interconnect

More information

A Delay Metric for RC Circuits based on the Weibull Distribution

A Delay Metric for RC Circuits based on the Weibull Distribution A Delay Metric for RC Circuits based on the Weibull Distribution Frank Liu, Chandramouli Kashyap and Charles J. Alpert IBM Austin, 114 Burnet Road, Austin, TX 78758 {frankliu,vchandra, calpert}@us.ibm.com

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 205 Midterm Wednesday, November 4 Point values

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

Static CMOS Circuits. Example 1

Static CMOS Circuits. Example 1 Static CMOS Circuits Conventional (ratio-less) static CMOS Covered so far Ratio-ed logic (depletion load, pseudo nmos) Pass transistor logic ECE 261 Krish Chakrabarty 1 Example 1 module mux(input s, d0,

More information

DELAYS IN ASIC DESIGN

DELAYS IN ASIC DESIGN DELAYS IN ASIC DESIGN We encounter several types of delays in ASIC design. They are as follows: Gate delay or Intrinsic delay Net delay or Interconnect delay or Wire delay or Extrinsic delay or Flight

More information