Advanced Millisecond Annealing Technologies and Its Applications and Concerns on Advanced Logic LSI fabrication processes

Size: px
Start display at page:

Download "Advanced Millisecond Annealing Technologies and Its Applications and Concerns on Advanced Logic LSI fabrication processes"

Transcription

1 Materials Science Forum Online: ISSN: , Vols , pp doi: / Trans Tech Publications, Switzerland Advanced Millisecond Annealing Technologies and Its Applications and Concerns on Advanced Logic LSI fabrication processes Masataka Kase 1, a, Tomonari Yamamoto 2,b and Tomohiro Kubo 1,c 1 Fujitsu Limited, 50, Fuchigami, Akiruno, Tokyo, , Japan 2 Fujitsu Laboratories Limited, 50, Fuchigami, Akiruno, Tokyo, , Japan a kase.masataka@jp.fujitsu.com, b yamamoto.tomonari@jp.fujitsu.com., c kubo.tomohiro@jp.fujitsu.com Keywords: Millisecond annealing, frtp, Laser spike annealing, flash lamp annealing, CMOS device, transistor, SiGe Abstract. The recent progress of advanced millisecond annealing (MSA) technology is discussed for the application to the advanced logic LSI fabrication processes. The combination with conventional spike annealing and MSA is proving practical to reduce the parasitic resistance and control the dopant diffusion. The characterization result of advanced 45 nm generation devices including the channel straining technology using embedded SiGe epitaxial growth is described with the arrangements of process flow and annealing steps. MSA has a principle problem of the annealing temperature variation depending on the optical properties of materials on the wafer surface because the annealing process time is similar to the heat transfer time. In the device scale, the variation of temperature is examined as the exact temperature with newly proposed evaluating methods used for the first time in this industry. Introduction Millisecond annealing (MSA) has been developed to achieve high activation and less diffusion of dopants, therefore its primary application might be the enhancement of dopant activation within the polysilicon gate without any diffusion of the dopant placed in the bulk region. [1] Also, the research will be highlighted into the approaches of engineering dopant profile underneath the gate structure such as the extension and halo. The MSA-only approach has been proposed that the dopant placement is fixed ideally by just ion implant conditions. However, there might be some issues with process integration, so the production-worthy device characteristics have not been demonstrated sufficiently. However, the combination with conventional spike rapid thermal annealing (s-rta) and MSA seems to show more practical results presently. [2,3] The design of an integration scheme using such a process sequence is quite important to extract the performance of transistor. Four MSA techniques have been released as follows; dynamic scanning annealing (DSA), laser spike annealing (LSA), flash lamp annealing (FLA) and flash-assist RTP (frtp TM ). [2,4-6] These energy sources are solid state laser, CO 2 laser, Xe arc flash lamp and Ar arc flash lamp respectively. The dependence of photon absorption with the materials covering the surface of the wafer during the fabrication process is strongly dependent on what kind of pattern, process sequence and substrate type. Actually, the difficulty to determine the exact temperature variation in such a short time and small dimension as the scale of advanced devices has been underplayed. Because the annealing temperature is high to be near melting point of Si and the short annealing time makes it difficult to identify the origin of wafer breakage, the wafer bowing and breakage are important concerns. The device structure and its details influence the probability of such failures, so the countermeasures on the device side can be quite effective comparable to the improvements on the equipment side. But, there have been few publications where these phenomena are shown because of the sensitivity of the manufacturing and commercial competition of equipment and device fabrication. This paper describes the recent progress on the device integration scheme of MSA into the advanced logic LSI fabrication process and analysis of device performance. The examination of the All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of Trans Tech Publications, (# , Pennsylvania State University, University Park, USA-19/09/16,07:56:34)

2 326 Rapid Thermal Processing and beyond: Applications in Semiconductor Processing local temperature variation of MSA is shown as the exact temperature with newly proposed evaluation methods for the first time in this industry. Figure 1: Key features of 45 nm node high-performance and low-leakage CMOS devices. Junction Profile Engineering and Device Performance of 45 nm node CMOS Technology Device Structure and Process Sequence. The effectiveness of MSA for the performance improvement of CMOS devices with embedded SiGe in the pmos regions is quite important because the thermal endurance of SiGe depends on the thermal cycle and process sequence. We also clarify how MSA affects the dopant profiles and device characteristics. The key features of the 45 nm node high-performance CMOS structure are shown in Fig. 1. The LSA has been used as MSA in this experiment. Multiple stressors including the ΣSiGe technique [7] are used to enhance mobility. In our approach, MSA should be implemented just after the SDE and halo implant called as step 1, and deep source-drain (SD) implant called as step 2. The LSA on step 1 forms an effective nmos halo and low-resistance pmos SDE, and step 2 forms a low-resistance nmos deep SD. Process results. Figure 2 (a) shows the secondary ion mass spectroscopy (SIMS) profiles of boron concentration annealed by spike-rta with LSA and without LSA with fluorine co-implants, and (b) shows the fluorine concentration profiles. The results indicate that a higher concentration in the boron plateau region, which suggests the improved activation level of boron and the suppression of transient Figure 2: SIMS profiles of boron (a) and fluorine (b) concentrations for samples annealed by spike-rta with and without LSA. SIMS profiles of the boron (c) concentration for samples annealed by spike-rta with and without LSA for no co-implants are also shown.

3 Materials Science Forum Vols enhanced diffusion (TED), can be obtained by using LSA combined with a fluorine co-implant. The junction profiles of boron and fluorine are not sensitive to LSA temperature changes within a significantly wide temperature range. Figure 2 (c) indicates that the phenomena could not be obtained without fluorine. Figure 3 shows the SDE sheet resistance of pmos transistors fabricated using LSA adopted at process steps 1 and step 2 respectively. LSA after SDE implant and F co-implant appears to be interacting B with F and residual defects effectively, so the TED would be suppressed as shown in Fig. 2. Compared with the sample without LSA, a 60 % lower R s is achieved due to the active B concentration in the plateau region of the SIMS profile has increased more than twice. In case of step 2, the ΣSiGe and other processes can decrease dramatically the amount of remaining fluorine, so the sheet resistance is not lowered effectively. The amount of fluorine is quite important in determining when the Boron diffusion occurs, so the MSA has strong merit as the defect recovery without fluorine diffusion. Figure 4 shows cross sectional transmission electron microscope (XTEM) images of a pmos (a) after SDE and halo implantation, (b) after LSA, and (c) after s-rta. Figure 4 (a) indicates clearly that some damage in the Si substrate is induced by ion implantation. In particular, the Figure 3: SDE sheet resistance of pmos fabricated using LSA step 1 only (open squares), LSA step 2 only (open triangles), and without LSA (solid circles). halo implant is the origin of the dark contrast at the depth ranging from 10 nm to 60 nm, because the projected range of the halo implant would be similar to these shown in Fig. 4 (a). Also there is the surface amorphized layer which is formed by the low energy SDE implant. As seen as dark dots at the depth of 60 nm on the XTEM image of Fig. 4 (b), some residual defects still remained after LSA. Also, we can be observed some dark contrast placed near the Si surface region. These might be caused from the residual damage of ultra low energy SDE implant. However theses are clearly diminished after s-rta and ΣSiGe growth, especially the channel region underneath the gate dielectric is quite clear. Figure 5 shows off-state junction leakage currents of pmos fabricated at various LSA temperatures. The ΣSiGe step was not been adopted in this sample. The junction leakage current is not sensitive to LSA temperatures for a wide temperature range, so higher temperature is not necessary in this approach. At least, the LSA process has a wide range of process temperature to be utilized it without increase of leakage current or defect generation Figure 4: Cross-sectional TEM images of pmos for as-implanted (a), after LSA step 1 with the optimum peak temperature (shown in Fig. 3) (b), and after s-rta (c). Samples were fabricated with the process shown in Fig. 1.

4 328 Rapid Thermal Processing and beyond: Applications in Semiconductor Processing. Device results. Figure 6 shows the relation between L min and I on at a constant I off for pmos with and without LSA and with and without fluorine co-implants. L min means that the gate length of transistor can be operated in the condition of threshold voltage, V th, V th = 0 V. Each split has two or three SDE implantation doses in order to investigate the SDE dose dependence of L min and I on. We can clearly see that with a fluorine co-implant, step 1 can raise the L min -I on trade-off line in the increasing I on direction. Figure 7 shows the LSA temperature dependence of the SDE sheet resistance in pmos fabricated using our proposed LSA scheme with a fluorine co-implant. Our technique results in a dramatic reduction in SDE sheet resistance, and its sensitivity to LSA temperature is very low within a temperature range of over 100 C giving a wide process window. Figure 5: Off-state junction leakage currents of pmos fabricated at various LSA temperatures. ΣSiGe was not performed. Figure 6: L min -I on trade-off lines of pmos devices fabricated with and without LSA (open and solid) and with and without F co-implants (squares and circles). Figure 7: LSA temperature dependence of SDE sheet resistance for pmos fabricated with our proposed approach. Quantitative observation of sub-100 nm range local temperature fluctuation in MSA Local temperature fluctuation of MSA. In the case of annealing techniques based on light irradiation heating, which includes conventional RTA and ms annealing, the emissivity non-uniformity within a die or a patterned wafer should cause local temperature variations in principle. The temperature fluctuation within a wafer in RTA that originates from the emissivity

5 Materials Science Forum Vols non-uniformity has already been investigated [8]. It was found that the emissivity fluctuation within a die results in the variation of the advanced device characteristic annealed by the lamp type spike RTA equipment [9]. The annealing time in MSA is much shorter than s-rta, so the lateral thermal diffusion length in MSA is about 100 µm, which is much shorter than s-rta which is about 5 mm. It becomes more critical for the successful manufacturing of advance devices to suppress the fluctuation of the device characteristic. It is necessary for the manufacture of LSI with MSA to evaluate and suppress the temperature variation that depends on micro emissivity non-uniformity in MSA. We succeeded in the measurement of a device-scaled temperature Figure 8: Test pattern structure (a) the lattice and emissivity non-uniformity in the area of pattern and active area for TW measurement. (b) 100 µm or less by using thermal wave (TW) three types of lattice structures technique. Additionally we studied the influence of the aspect ratio, density and structure of patterns upon emissivity non-uniformity. MSA and sample preparation. FLA was performed with the condition of irradiation intensity ranging from 23 to 31 J/cm 2. The peak temperature of the surface of wafers is estimated to reach values ranging from 1000 to 1300 ºC. We prepared the various samples with the several depths of trench and several density of lattice patterns. The depth of trenches ranges from 150 to 400 nm as shown in Fig. 8. The isolated active areas, which mean flat silicon areas to be the TW measurement point, were arranged in the lattice pattern field. We also prepared three types of device structures; (1) trench structure, has only trench structures by Si etching, (2) Shallow Trench Isolation (STI) structure has the trench structure filled with oxide, and (3) Transistor structure has the poly-si gate on the Si islands in lattice patterns. The interval of the lattice pattern was varied to investigate the emissivity variation. Thermal wave measurement. The TW method was used to clarify the emissivity and temperature distribution locally on the device scale. The diameters of pump and probe laser spots are about 1 µm, however the measurement spatial resolution reaches at about 10 µm, because there is propagation of the thermal waves in the silicon substrate. The measurement area has been determined to be of a size of 10 µm square. The amount of damage induced by ion implantation just after ion implantation has been measured by TW method often in the past. However, we measured the amount of the residual ion-implanted damage recovered by FLA and evaluated the correlation between the TW signal and its dependence on residual damage and FLA power, which is relative to the temperature of the surface of wafers. We successfully optimized the ion implantation condition to gain the simple correlation that the TW signal decreases monotonously when the FLA power increases. The temperature measurement during MSA is quite important issue to stabilize the annealing temperature, in particular the FLA has not had a practical temperature measurement method yet. To clarify the temperature difference, we use the relative emissivity concept comparing with the blanket wafer and several patterned wafers. The absorbed irradiation power, P is defined as the product of the irradiation power Q with the absorptivity, which is equal to the emissivity,, as follows, P = Q. (1)

6 330 Rapid Thermal Processing and beyond: Applications in Semiconductor Processing This relationship is available in each different sample such as the blanket wafer and patterned wafer. The implanted damage was annealed by the absorbed irradiation power, therefore the residual damage after FLA is quantified by thermal wave and the examination of the dependency of irradiation power gives the relation between the Q and thermawave signals. When the patterned sample annealed at the irradiation power Q pattern has the same thermawave signal as the blanket wafer annealed at the Q blanket, the following relation is achieved due to the same absorbed power is adopted, blanket Q blanket = pattern Q pattern, (2) where the emissivity, of blanket and pattern wafer are blanket and pattern, respectively. As a result, the relative emissivity relative is defined as follows, pattern Qblanket relatve = =. (3) blanket Qpattern Figure 9 shows the distribution of relative emissivity based on TW measurements of the samples with various trench densities which are increased in alphabetical order from B to F blocks and 45 nm node SRAM patterns were formed in the A block [10]. The relative emissivity has changed greatly in blocks B and D. The pitch difference of block D is 140 µm and almost the same as the lateral thermal diffusion length in FLA. The relative emissivity of the STI structure is larger than the trench structure because a part of the surface of the pattern is covered with the oxide which has a reflectivity less than silicon. The poly-si gate causes an increase of the relative emissivity in the transistor structure. The increase of the relative emissivity occurs due to the effect of extra absorption due to multiple reflections by the poly-si gate structure compared to the trench structure. (a) (b) Figure 9: Distribution of relative emissivity calculated from TW measurement. The square, circle and triangle lines are showing the sample structure of trench, transistor, STI, respectively. Local temperature variation. The temperature variation depending on emissivity variation is described by the following equation [11], 2-1 T peak = ( Tsurface_ave -Tsub ), (4) ave where T peak is the difference in temperature between two areas of different emissivity, T surface_ave and T sub are the average temperatures of two different areas on the surface and the substrate temperature, and 1, 2, ave are the emissivity in one area, the other area and average emissivity of both respectively. Therefore the peak temperature difference can be extracted from the relative emissivity. Figure 10 shows the relation between the temperature difference of a pattern wafer to a blanket wafer when it is assumed that T sub and T surface_ave by FLA are 450 ºC and 1200 ºC respectively. In the case of the transistor structure the range of temperature variation depending on the emissivity

7 Materials Science Forum Vols fluctuation exceeds about 100 ºC. However the range of temperature variation decreases to less than 100 ºC as the pitch of the emissivity distribution or the range of emissivity variation comes down. Summary Figure 10: The relation between density of pattern and temperature difference between pattern and blanket wafer. density and device structure. We described the device integration scheme of MSA and spike annealing combination including the channel straining technology using embedded SiGe epitaxial growth on advanced 45 nm generation devices. The practical integration with sufficient process window of the thermal variation and suppressed leakage current of SD were demonstrated. Also, the variation of temperature on MSA is examined as the exact temperature with newly proposed evaluating methods. In the case of FLA the temperature variation range of 150 C are observed depending on the pattern References [1] A. Shima, Y. Wang, S. Talwar, A. Hiraiwa: 2004 Symposium on VLSI Technology, Dig. of Tech. Papers (2004), p. 174 [2] S. Severi, E. Augendre, S. Thirupapuliyur, K. Ahmed, S. Felch, V. Parihar, F. Nouri, T. Hoffman, T. Noda, B. O Sullivan, J. Ramos, E.San Andrés, L. Pantisano, A. De Keersgieter, R. Schreutelkamp, D. Jennings, S. Mahapatra, V. Moroz, K. De Meyer, P. Absil, M. Jurczak, S. Biesemans: IEDM Tech. Dig. (2006), page. 859 [3] T. Yamamoto, T. Kubo, T. Sukegawa, K. Hashimoto, M. Kase: 2006 Symposium on VLSI Technology, Dig. of Tech. Papers (2006), p. 234 [4] L. M. Feng, Y. Wang, D. A. Markle: Ext. Abstract of the 6 th International Workshop on Junction Technology (2006), p.25 [5] T. Ito, K. Suguro, M. Tamura, Y. Ushiku, T. Iinuma, T. Itani, M. Yoshioka, T. Owada, Y. Imaoka, H. Murayama, T. Kusuda: Ext. Abstract of International Symposium on Semiconductor meeting, (2002), p.19 [6] G. C. Stuart, D. M. Camm, J. Cibere, L. Kaludjercic, S. L. Kervin, A. Liu, K. J. McDonnell N. Tam: 10 th IEEE Intl. Conf. on Advanced Thermal Processing of Semiconductors RTP2002, Vancouver, Canada, ISBN , (2002), p. 77

8 332 Rapid Thermal Processing and beyond: Applications in Semiconductor Processing [7] H. Ohta, Y. Kim, Y. Shimamune, T. Sakuma, A. Hatada, A. Katakami, T. Soeda, K. Kawamura, H. Kokura, H. Morioka, T. Watanabe, J. Oh, Y. Hayami, J. Ogura, M. Tajima, T. Mori, N. Tamura, M. Kojima, K. Hashimoto: IEDM Tech. Dig. (2005), p. 247 [8] Z. Nenyei, J. Niess, W. Lerch, W. Dietl, P.J. Timans, P. Pichler: 14 th IEEE Intl. Conf. on Advanced Thermal Processing of Semiconductors RTP 2006, Kyoto, Japan, ISBN X, (2006), p. 177 [9] I. Ahsan, N. Zamdmer, O. Glushchenkov, R. Logan, E. J. Nowak, H. Kimura, J. Zimmerman, G. Berg, J. Herman, E. Maciejewski, A. Chan, A. Azuma, S. Deshpande, B. Dirahoui, G. Freeman, A. Gabor, M. Gribelyuk, S. Huang, M. Kumar, K. Miyamoto, D. Mocuta, A. Mahorowala, E. Leobandung, H. Utomo, B. Walsh: 2006 Symposium on VLSI Technology, Dig. of Tech. Papers (2006), p. 170 [10] T. Kubo, T. Sukegawa, E. Takii, T. Yamamoto, S. Satoh, M. Kase: 15 th IEEE Intl. Conf. on Advanced Thermal Processing of Semiconductors RTP 2007, Catania, Italy, ISBN , (2007), p. 321 [11] J. Hebb, Proceedings on workshop of 14 th IEEE Intl. Conf. on Advanced Thermal Processing of Semiconductors (RTP2006), Kyoto, Japan, (2006)

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal Fareen Adeni Khaja Technical Product Marketing, Front End Products Transistor and Interconnect Group Applied

More information

DIFFUSION - Chapter 7

DIFFUSION - Chapter 7 DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS Christian L. Petersen, Rong Lin, Dirch H. Petersen, Peter F. Nielsen CAPRES A/S, Burnaby, BC, Canada CAPRES A/S, Lyngby, Denmark We

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA Fast Monte-Carlo Simulation of Ion Implantation Binary Collision Approximation Implementation within ATHENA Contents Simulation Challenges for Future Technologies Monte-Carlo Concepts and Models Atomic

More information

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION VLSI DESIGN 2001, Vol. 13, Nos. 4, pp. 459-- 463 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

Analyzing electrical effects of RTA-driven local anneal temperature variation

Analyzing electrical effects of RTA-driven local anneal temperature variation Analyzing electrical effects of RTA-driven local anneal temperature variation Astract Suppresing device leakage while maximizing drive current is the prime focus of semiconductor industry. Rapid Thermal

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Use of p- and n-type vapor phase doping and sub-melt laser anneal for extension junctions in sub-32 nm CMOS technology

Use of p- and n-type vapor phase doping and sub-melt laser anneal for extension junctions in sub-32 nm CMOS technology Use of p- and n-type vapor phase doping and sub-melt laser anneal for extension junctions in sub-32 nm CMOS technology N. D. Nguyen a, E. Rosseel a, S. Takeuchi a,b, J.-L. Everaert a, L. Yang a,h, J. Goossens

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment vyuji Kataoka vmayumi Shigeno vyoko Tada vkazutoshi Yamazaki vmasataka

More information

Physicists in the Semiconductor Industry

Physicists in the Semiconductor Industry Physicists in the Semiconductor Industry P.M. Mooney IBM Research Division, T.J. Watson Research Center Yorktown Heights, NY 10598 APS March Meeting March 24, 2004 Thomas J. Watson Research Center 1 Outline

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Electrical Characterization of PiN Diodes with p + layer Selectively Grown by VLS Transport

Electrical Characterization of PiN Diodes with p + layer Selectively Grown by VLS Transport Materials Science Forum Online: 2013-01-25 ISSN: 1662-9752, Vols. 740-742, pp 911-914 doi:10.4028/www.scientific.net/msf.740-742.911 2013 Trans Tech Publications, Switzerland Electrical Characterization

More information

Diffusion in Extrinsic Silicon and Silicon Germanium

Diffusion in Extrinsic Silicon and Silicon Germanium 1 Diffusion in Extrinsic Silicon and Silicon Germanium SFR Workshop & Review November 14, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements

More information

Low Temperature Microwave Annealing of S/D

Low Temperature Microwave Annealing of S/D 16th IEEE International Conference on Advanced Thermal Processing of Semiconductors - RTP28 Low Temperature Microwave Annealing of S/D Bo Lojek Atmel Corporation 115 E. Cheyenne Mtn. Blvd. Colorado Springs,

More information

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon Solid State Phenomena Vols. 18-19 (25) pp 621-626 Online available since 25/Dec/15 at www.scientific.net (25) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.18-19.621 Infrared Absorption

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

EE382M-14 CMOS Analog Integrated Circuit Design

EE382M-14 CMOS Analog Integrated Circuit Design EE382M-14 CMOS Analog Integrated Circuit Design Lecture 3, MOS Capacitances, Passive Components, and Layout of Analog Integrated Circuits MOS Capacitances Type of MOS transistor capacitors Depletion capacitance

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations Materials Science and Engineering B 124 125 (2005) 392 396 Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations J.E. Rubio a,, M. Jaraiz

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density The MIT Faculty has made this article openly available. Please share how this

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Fig The electron mobility for a-si and poly-si TFT.

Fig The electron mobility for a-si and poly-si TFT. Fig. 1-1-1 The electron mobility for a-si and poly-si TFT. Fig. 1-1-2 The aperture ratio for a-si and poly-si TFT. 33 Fig. 1-2-1 All kinds defect well. (a) is the Dirac well. (b) is the repulsive Columbic

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures

Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures MSE 6001, Semiconductor Materials Lectures Fall 2006 3 Semiconductor Heterostructures A semiconductor crystal made out of more

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6 Lecture 6 Rapid Thermal Processing Reading: Chapter 6 (Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

Diffusion in Extrinsic Silicon

Diffusion in Extrinsic Silicon 1 Diffusion in Extrinsic Silicon SFR Workshop & Review April 17, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements on P doped Si to complete

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

The Intrinsic Silicon

The Intrinsic Silicon The Intrinsic ilicon Thermally generated electrons and holes Carrier concentration p i =n i ni=1.45x10 10 cm-3 @ room temp Generally: n i = 3.1X10 16 T 3/2 e -1.21/2KT cm -3 T= temperature in K o (egrees

More information

Ion implantation Campbell, Chapter 5

Ion implantation Campbell, Chapter 5 Ion implantation Campbell, Chapter 5 background why ion implant? elastic collisions nuclear and electronic stopping ion ranges: projected and lateral channeling ion-induced damage and amorphization basic

More information

2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon

2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon 2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon MSA of semiconductors is usually performed using flash lamps. It has been shown that FLA holds the balance between effective dopant

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Recent Development of FinFET Technology for CMOS Logic and Memory

Recent Development of FinFET Technology for CMOS Logic and Memory Recent Development of FinFET Technology for CMOS Logic and Memory Chung-Hsun Lin EECS Department University of California at Berkeley Why FinFET Outline FinFET process Unique features of FinFET Mobility,

More information

ION IMPLANTATION - Chapter 8 Basic Concepts

ION IMPLANTATION - Chapter 8 Basic Concepts ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range of doses - 1 11

More information

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2005 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

An Overview of the analysis of two dimensional back illuminated GaAs MESFET

An Overview of the analysis of two dimensional back illuminated GaAs MESFET An Overview of the analysis of two dimensional back illuminated GaAs MESFET Prof. Lochan Jolly*, Ms. Sonia Thalavoor** *(A.P- Department of Electronics & Telecommunication, TCET, Mumbai Email: lochan.jolly@thakureducation.org)

More information

Monolayer Semiconductors

Monolayer Semiconductors Monolayer Semiconductors Gilbert Arias California State University San Bernardino University of Washington INT REU, 2013 Advisor: Xiaodong Xu (Dated: August 24, 2013) Abstract Silicon may be unable to

More information

Radiation Effect Modeling

Radiation Effect Modeling Radiation Effect Modeling The design of electrical systems for military and space applications requires a consideration of the effects of transient and total dose radiation on system performance. Simulation

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University 微纳光电子材料与器件工艺原理 Doping 掺杂 Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Semiconductor PN Junctions Xing Sheng, EE@Tsinghua LEDs lasers detectors solar

More information

LAYOUT TECHNIQUES. Dr. Ivan Grech

LAYOUT TECHNIQUES. Dr. Ivan Grech LAYOUT TECHNIQUES OUTLINE Transistor Layout Resistor Layout Capacitor Layout Floor planning Mixed A/D Layout Automatic Analog Layout Layout Techniques Main Layers in a typical Double-Poly, Double-Metal

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Dopant and Self-Diffusion in Semiconductors: A Tutorial

Dopant and Self-Diffusion in Semiconductors: A Tutorial Dopant and Self-Diffusion in Semiconductors: A Tutorial Eugene Haller and Hughes Silvestri MS&E, UCB and LBNL FLCC Tutorial 1/26/04 1 FLCC Outline Motivation Background Fick s Laws Diffusion Mechanisms

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Graphene photodetectors with ultra-broadband and high responsivity at room temperature

Graphene photodetectors with ultra-broadband and high responsivity at room temperature SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2014.31 Graphene photodetectors with ultra-broadband and high responsivity at room temperature Chang-Hua Liu 1, You-Chia Chang 2, Ted Norris 1.2* and Zhaohui

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Chapter 9 Ion Implantation

Chapter 9 Ion Implantation Chapter 9 Ion Implantation Professor Paul K. Chu Ion Implantation Ion implantation is a low-temperature technique for the introduction of impurities (dopants) into semiconductors and offers more flexibility

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three commonly

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Philips Research apple PHILIPS

Philips Research apple PHILIPS c Electronics N.V. 1997 Modelling Compact of Submicron CMOS D.B.M. Klaassen Research Laboratories The Netherlands Eindhoven, contents accuracy and benchmark criteria new applications í RF modelling advanced

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS Modeling Overview Strain Effects Thermal Modeling TCAD Modeling Outline FLOOPS / FLOODS Introduction Progress on GaN Devices Prospects for Reliability

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Introduction and Background

Introduction and Background Analog CMOS Integrated Circuit Design Introduction and Background Dr. Jawdat Abu-Taha Department of Electrical and Computer Engineering Islamic University of Gaza jtaha@iugaza.edu.ps 1 Marking Assignments

More information

The Devices. Jan M. Rabaey

The Devices. Jan M. Rabaey The Devices Jan M. Rabaey Goal of this chapter Present intuitive understanding of device operation Introduction of basic device equations Introduction of models for manual analysis Introduction of models

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan Single ion implantation for nanoelectronics and the application to biological systems Iwao Ohdomari Waseda University Tokyo, Japan Contents 1.History of single ion implantation (SII) 2.Novel applications

More information