Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Size: px
Start display at page:

Download "Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium"

Transcription

1 Solid State Phenomena Vols (2009) pp Online available since 2009/Jan/06 at (2009) Trans Tech Publications, Switzerland doi: / All Wet Photoresist Strip by Solvent Aerosol Spray M. Wada 1,2, a, K. Sano 1, J. Snow 1, R. Vos 2, L. H. A. Leunissen 2, P.W. Mertens 2 and A. Eitoku 1 1 Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan 2 IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium a m.wada@screen.co.jp Keywords: Solvent, photoresist removal, aerosol spray, NMP, DMSO Introduction The introduction of metal gates and high-k dielectrics in FEOL and porous ULK dielectrics in BEOL presents severe issues [1] and leads to the requirement of new chemistries and processes. A major challenge in cleaning is the removal of photoresist (PR) in both FEOL and BEOL. In current semiconductor device fabrication flow, the photoresist strip process in FEOL is mostly achieved by applying a sequence of plasma ashing followed by a wet-clean step with sulfuric-peroxide mixture (SPM). But in general, ashing leads to strong oxidation or etching of silicon substrate. Hence, several approaches for ashless PR strip have been reported, such as hot SPM [2] and the combination of a pre-treatment using high velocity CO 2 aerosol [3]. But in particular for metal gate first integration schemes, individual metal materials are exposed after gate patterning. The photoresist after ion-implant on extension and halo regions for nmos/pmos has to be removed from the substrate without any attack to the gate materials. Needless to say, SPM does not have enough compatibility against presently reported materials, such as TiN, W and WN for metal gate and LaO and AlO for high-k capping layer [4]. Cleaning approaches by using the dissolution and the swelling effect in solvent are gaining renewed interest [5] and have been considered for the application of post-etch photoresist removal on porous low-k dielectrics [6] in BEOL. This study reports on ion-implanted resist removal using solvent aerosol spray and reports the effect to the electrical performance. Experimental 300mm whole wafer and/or pieces were used for these experiments. Silicon wafers were coated with KrF DUV (JSR) resist and subsequently ion-implanted with several low/high dose conditions with As and B at levels of 1-5 E14-15 atoms/cm 2 using energy values of 1-5 kev. All wet processes using solvent and additional post cleaning by dhcl or APM were carried out in a Dainippon Screen single wafer cleaning tool (DNS, SU3000). Solvent was heated up and supplied from an aerosol spray nozzle together with appropriate nitrogen gas in order to apply the physical force. Physical force can be controlled by N 2 flow rate (Range from 0 to100 L/min). Photoresist removal on the wafer surface was assessed using different methods, i.e. KLA-Tencor Surf Scan SP2 LPD (Light Point Defect > 0.1um size and Haze-mode measurement), optical microscope and SEM. With the n/pmos device composed of Poly-Si/SiON/Si-substrate, the impact of different PR strip processes (dry and solvent wet strip) on electrical performance was investigated. For the solvent wet strip process, common conditions such as dispense time, temperature and N 2 flow (through the aerosol nozzle), were selected for each n/pmos strip. MP and DMSO-based solvents This study focused on the use of n-methylpyrollidone (NMP) and dimethylsulfoxide (DMSO) based solvents. These are proven solvents that are used in microelectronic manufacturing, e.g. resist stripping applications in lithography. These solvents exhibit a high polarity as defined by a high dielectric constant, which aids in the dissolution character for polymers. All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, (ID: , Pennsylvania State University, University Park, United States of America-15/06/14,05:39:32)

2 286 Ultra Clean Processing of Semiconductor Surfaces IX Results and discussion Effect of aerosol spray process Fig. 1 compares representative optical micrographs with different solvent strip conditions. The sample was coated with ion-implanted resist (As 1E15 5keV) and exposed to solvent aerosol spray with (b) and without (a) nitrogen gas and high/low solvent temperatures. The results clearly indicate that the strong physical force using aerosol spray with N 2 and high temperature during solvent dispensing promotes effective PR removal. Fig. 2 shows SP2 haze measurement results on the surface after the solvent wet strip process. The haze after solvent exposed with low flow rate N 2 or without N 2 showed high value comparatively (10ppm), although at the condition with high N 2 flow rate, such as over 60 L/min of N 2, it resulted in low and constant haze value (2-3ppm). The use of DMSO instead of NMP also showed similar removal performance. On this process application, the requirements dictate complete PR removal, as well as a damage-free process to the underlying gate stack structures. From other experimental results, it is reported that a gate stack structure collapses when over 80 L/min of N 2 is applied. Therefore 60 L/min of N2 flow is sufficient to achieve enough physical force for PR removal without gate collapse. Effect of post cleaning No residue was observed by optical microscopy after high temperature solvent process with optimized aerosol spray, although small amounts of ion-implanted resist residues were still present on the SP2 LPD map (See Fig. 4 (a)) and SEM images at the boundary of nmos and pmos (Fig. 3). But as shown in Fig. 4 (b) and 5, those residual resist particles can be reduced by additional post cleaning using dhcl or APM aerosol spray. It is considered that positively charged resist particles in solvent or DIW solution are strongly adhered on the substrate and then the control of surface potential on substrate by dhcl solution and/or oxidizing by APM lead to their detachment. The same phenomenon was reported [7] using SiO 2 and cross-linked poly-methy-methacrylate (PMMA) as model particles for generic cleaning application and hypothetical post-etch PR crust in solvent and DIW. Electrical test results Figure 6 shows the I on -I off characteristic on nmos device with POR (Process of Record) dry resist strip and solvent wet resist strip processes after extension and halo implant (B 5keV, 5.5E13, As 1keV, 1E15). In spite of seeing small amounts of residue on the boundary areas of nmos/pmos after solvent strip in the SEM image (See Fig. 3), I on -I off characteristics on both dry and wet solvent strip were comparable. And Figure 7 shows C ov (Capacitance on overlap regions under the gate structure) on the devices with different strip process. Both for nmos and pmos device, solvent wet resist strip resulted in higher C ov than the dry strip, which might imply a reduction of dopant loss inside the extension or halo regions during the PR strip process. Conclusion A review and demonstration of opportunities for solvent use in microelectronic manufacturing has been conducted. The combination of selected solvents and the appropriate aerosol dispense proved the possibility of replacement from the conventional SPM process. While there was still some small amount of residues present on the boundary regions of nmos/pmos, further studies will be conducted to define the condition to enable complete removal. References [1]P. W. Mertens et al., International Semiconductor Technology Conference (ISTC 2008) March 15 17, 2008, Shanghai, China [2]H. Takahashi, ECS transactions Cleaning and Surface Conditioning Technology in Semiconductor Device Manufacturing 10, p.189 (2007)

3 Solid State Phenomena Vols [3]G. G. Totir et al., ECS transactions Cleaning and Surface Conditioning Technology in Semiconductor Device Manufacturing 10, p.219 (2007) [4]R. Vos, S. Arnauts, I. Bovie, B. Onsia, S. Garaud, K. Xu, Y. Hongyu, S. Kubicek, E. Rohr, T. Schram, A. Veloso, T. Conard, L. Leunissen and P. Mertens, ECS transactions Physics and Technology of High-k Gate Dielectrics 5, p.275 (2007) [5]G. Kvakovszky, A. McKim and J. Moore, ECS transactions 11, p (2007) [6]M. Claes et al., Processngs of UCPSS2006, Solid State Phenomena Vol. 134 p.325 (Trans Tech Pub., Zuerich, Swiserland, 2008) [7]F. Barbagini, T. Janssens, T. Bearda, S. Armini, J. Van Hoeymissen, P. Mertens and J. Fransaer, ECS transactions 10, p.101 (2007) (a) Solvent without N 2 at 65deg.C (b) Solvent with 80L/min N 2 at 65deg.C (c) Solvent at RT with aerosol spray (d) Solvent at 65deg.C with aerosol spray Figure 1. Optical microscope images after solvent process for 3min with different spray and temperature conditions DWO Haze (ppm) No N2 gas N2=20L/min N2=40L/min N2=60L/min N2=80L/min DMSO 60L/min Solvent Spray Time (sec) Figure 2. SP2 DWO haze value after solvent strip of ion implanted resist with use of different solvent and N 2 flow Figure 3. SEM image at the boundary of nmos and pmos after solvent strip process

4 288 Ultra Clean Processing of Semiconductor Surfaces IX (a) After solvent only (b) additional APM clean Figure 4. SP2 LPD map after the solvent PR strip and additional APM clean (Particle size: 0.1um up) LPD count (0.1um up) Solvent only Overload O3 rinse dhcl rinse 400 APM rinse Figure 5. SP2 LPD count after the solvent PR strip and several kinds of post clean 1.E-03 Ioff_is (A/um) 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 POR : Dry strip Wet strip : APM 1.E Ion (A/um) Cov on n/pmos (ff/um) Capacitance at the overlap region Gate STI POR=Dry Solvent APM NMOS PMOS Figure 6. I on /I off characteristics on nmos after different PR strip process Figure 7. Capacitance at the gate overlap region on n/pmos after different PR strip process

5 Ultra Clean Processing of Semiconductor Surfaces IX / All Wet Photoresist Strip by Solvent Aerosol Spray /

Novel Photo Resist Stripping for Single Wafer Process

Novel Photo Resist Stripping for Single Wafer Process Solid State Phenomena Vols. 103-104 (2005) pp 297-300 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.297

More information

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e Solid State Phenomena Vol. 134 (28) pp 263266 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.263 Study of static electricity

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon Solid State Phenomena Vols. 18-19 (25) pp 621-626 Online available since 25/Dec/15 at www.scientific.net (25) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.18-19.621 Infrared Absorption

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Selection of ESH solvents for cleaning applications in semiconductor manufacturing

Selection of ESH solvents for cleaning applications in semiconductor manufacturing Selection of ESH solvents for cleaning applications in semiconductor manufacturing E. Kesters 1, M. Claes 1, Q. T. Le 1, K. Barthomeuf 2, M. Lux 1, G. Vereecke 1*, T. Bearda 1, and J.B. Durkee 3** 1 IMEC,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

The Biological Effect of Iron Oxide and its Hydrate Nanoparticles

The Biological Effect of Iron Oxide and its Hydrate Nanoparticles Solid State Phenomena Vols. 121-123 (2007) pp 735-738 Online available since 2007/Mar/15 at www.scientific.net (2007) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.121-123.735

More information

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface Solid State Phenomena Online: 24926 ISSN: 6629779, Vol. 29, pp 58 doi:.428/www.scientific.net/ssp.29.5 25 Trans Tech Publications, Switzerland Impact of electrostatic effects on wet etching phenomenon

More information

A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning

A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning Irene Shi, Eric Guo, Max Lu, Sandy Qian, RivanLi Semiconductor Manufacturing International Corp. 18 ZhangjiangRoad,

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation Solid State Phenomena Vols. 97-98 (2004) pp 181-184 (2004) Trans Tech Publications, Switzerland Journal doi:10.4028/www.scientific.net/ssp.97-98.181 Citation (to be inserted by the publisher) Copyright

More information

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist 1/18 Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist Jeffery W. Butterbaugh 7 FSI International, 3455 Lyman Blvd., Chaska, MN 55318 USA jeff.butterbaugh@fsi-intl.com Outline 2/18

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Introduction Multilayer dielectric (MLD) diffraction gratings are essential components for the OMEGA EP short-pulse, high-energy laser

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis*

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Dr. W. J. Hyun, Prof. C. D. Frisbie, Prof. L. F. Francis Department of Chemical Engineering and Materials Science

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz Solid State Phenomena Vol. 113 (2006) pp 603-608 Online available since 2006/Jun/15 at www.scientific.net (2006) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.113.603 The Mechatronics

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI Chapter 2 The Well Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance Joseph A. Elias, Ph.D. Adjunct Professor, University of Kentucky; Modeling MTS, Cypress Semiconductor

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Novel Approach of Semiconductor BEOL Processes Integration

Novel Approach of Semiconductor BEOL Processes Integration Novel Approach of Semiconductor BEOL Processes Integration Chun-Jen Weng cjweng825@yahoo.com.tw Proceedings of the XIth International Congress and Exposition June 2-5, 2008 Orlando, Florida USA 2008 Society

More information

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

Effect of Incoming Quality of Sulfuric Acid on Inline Defects Effect of Incoming Quality of Sulfuric Acid on Inline Defects Dhiman Bhattacharyya*, Sushil Patil, Mark Conrad, Hayley Manning, Fauzia Khatkhatay, Alexander Mena and Norberto DeOliveira March 28, 2017

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Author Pan, Yue, M. Collins, Aaron, Algahtani, Fahid, W. Leech, Patrick, K. Reeves, Geoffrey, Tanner,

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited (12) United States Patent USOO7144673B2 (10) Patent No.: US 7,144.673 B2 Chen et al. (45) Date of Patent: Dec. 5, 2006 (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited PROCESS FOR HIGH DOSAGE

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France olid tate Phenomena Vol. 134 (2008) pp 79-82 Online available since 2007/ov/20 at www.scientific.net (2008) Trans Tech Publications, witzerland doi:10.4028/www.scientific.net/p.134.79 Peracetic acid as

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment vyuji Kataoka vmayumi Shigeno vyoko Tada vkazutoshi Yamazaki vmasataka

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Electrical Characterization of PiN Diodes with p + layer Selectively Grown by VLS Transport

Electrical Characterization of PiN Diodes with p + layer Selectively Grown by VLS Transport Materials Science Forum Online: 2013-01-25 ISSN: 1662-9752, Vols. 740-742, pp 911-914 doi:10.4028/www.scientific.net/msf.740-742.911 2013 Trans Tech Publications, Switzerland Electrical Characterization

More information

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield.

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield. 1 2 3 4 Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO 2. Optical microscopy images of three examples of large single layer graphene flakes cleaved on a single

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

Defects Panel Discussion

Defects Panel Discussion Defects Panel Discussion David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering Director of the Particle Technology Laboratory University of Minnesota

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Supplementary Information. Room-temperature fabrication of three-dimensional porous silicon

Supplementary Information. Room-temperature fabrication of three-dimensional porous silicon Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2017 Supplementary Information Room-temperature fabrication of three-dimensional porous silicon framework

More information

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Transmission Electron Microscopy for metrology and characterization of semiconductor devices Transmission Electron Microscopy for metrology and characterization of semiconductor devices Bert Freitag, Laurens Kwakman, Ivan Lazic and Frank de Jong FEI / ThermoFisher Scientific, Achtseweg Noord 5,

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Midterm I - Solutions

Midterm I - Solutions UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2008 Professor Chenming Hu Midterm I - Solutions Name: SID: Grad/Undergrad: Closed

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information