Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University

Size: px
Start display at page:

Download "Lithography for Silicon-based and Flexible Electronics. Christopher K. Ober Materials Science & Engineering Cornell University"

Transcription

1 Lithography for Silicon-based and Flexible Electronics Christopher K. Ober Materials Science & Engineering Cornell University

2 Smaller is Better Moore s Law after 40 Years Now few GHz Feature sizes of ~ 100 nm Microprocessors with thousands of transistors operating at a few MHz Feature sizes of ~ 0.5 µm 2

3 International Technology Roadmap for Semiconductors 3

4 Sowing the Seeds of Nanotechnology Richard Feynman, There is plenty of room at the bottom (1959) But. Gutenberg laid the foundation for microlithography when he invented the printing press (~1450) 4

5 Lithography: the printing press made small Resist Wafer Coat & Bake Typical exposure, bake and development times are in seconds! Mask Expose (193 nm or 157 nm) (seconds) Positive (PEB) Post-Exposure Bake (seconds) Negative Develop (seconds) Etch (Plasma) Strip 5

6 Making the Pattern Crosslinking Chain scission Polarity change h ν h ν h ν 6

7 The March to Smaller Dimensions 193 nm Immersion?

8 Photoresist Photosensitive material used for transferring pattern to substrate Has to Adhere to substrate Undergo radiation induced solubility change Possess etch resistance Be developable in aqueous base (or other solvent) Disappear when not wanted

9 Topics High resolution DUV lithography Without chemical amplification 193 nm immersion 157 nm lithography E-beam lithography Thick film lithography Future directions in lithography Imprint lithography Ink jet printing

10 Resists without Chemical Amplification Established technology Mostly used as electron-beam resists Was original basis of DUV resists High resolution (no acid diffusion problems) Sub 30 nm feature sizes possible Problem: Low sensitivity! How to improve? Currently low sensitivities are traded for high resolution 10

11 Electron Beam Lithography Characterized by expensive systems and long write times Typically used for mask making or MEMS devices e - 11

12 E-Beam Resists and Processing CORNELL NANOSCALE FACILTY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY Positive resists PMMA Toray EBR-9 PBS ZEP Photoresists as e-beam resists Negative resists COP Shipley SAL NEB-31 Multilayer systems Low/high molecular weight PMMA PMMA/copolymer Trilayer systems CNF NanoCourses

13 Poly(methyl methacrylate) (PMMA) CORNELL NANOSCALE FACILTY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY The most popular e-beam resist Extremely high-resolution Easy handling Excellent film characteristics Wide process latitude Usually dissolved in a solvent (e.g. anisole) Exposure causes scission of the polymer chains Solvent developer dissolves exposed (lighter molecular weight) resist CNF NanoCourses

14 PMMA E-Beam O E-beam Technology Group, Stanford Nanofabrication Facility Excellent resolution (<30 nm) + Contrast Low sensitivity (800µc/cm 100kV) How to improve sensitivity? -copolymerize with MAA for 4x increase in sensitivity 14 +

15 PMMA Characteristics CORNELL NANOSCALE FACILTY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY Positive acting Several viscosities available, allowing a wide range of resist thickness Not sensitive to white light Developer mixtures can be adjusted to control contrast and profile Appropriate processing results in undercut profile for liftoff Poor dry etch resistance No shelf life or film life issues CNF NanoCourses

16 P(MMA-MAA) Copolymer Resist CORNELL NANOSCALE FACILTY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY CORNELL NANOSCALE FACILITY Higher sensitivity than PMMA Can be exposed at a lower dose Faster Less contrast. Most useful in Bi-level resists with PMMA, to produce undercut profiles useful in liftoff processing Characteristics Positive acting Several viscosities available, allowing a wide range of resist thickness Not sensitive to white light Developer mixtures can be adjusted to control contrast and profile Poor dry etch resistance No shelf life or film life issues CNF NanoCourses

17 Styrene Monomers insensitive neg. tone resist insensitive pos. tone resist Sensitivity Highly sensitive Negative tone Introduction to Microlithography, p

18 E-beam Resists

19 Poly (1-Butene Sulphone) Very sensitive, but poor dry etch resistance! + R-SO 2 -R [RSO 2 R] + RSO R R + + SO 2 Again, favorable decomposition route. Note release of neutral species. 19

20 Key Concepts To Improve Sensitivity: (1) Build in bonds capable of cleavage (2) Ensure stability of intermediates (3) Release of neutral species, i.e. SO 2 20

21 UV Lithography Only optical lithography can provide the information output needed for high volume production Industry loves this and will keep pushing it as long as it can go 21

22 Azo resists 22

23 Azo Absorbance 23

24 Azo Patterning 24

25 UV Stepper Tool (248/193 nm) Canon FPA-5500iZ step-and-repeat i-line stepper for 300 mm is a mix-and-match companion for the company's 300 mm scanners, the FPA-5000ES3 (KrF) and the FPA-5000AS2 (ArF). The tool can be easily converted to or from 200 mm wafer size and can be used for patterning less-critical IC layers. The unit includes the same third-generation platform as the company's 300 mm scanners.

26 DNQ Resists Introduction to Microlithography, 2nd Ed., L. Thompson, C.G. Willons, M. J. Bowden, eds., ACS Books, Washington, 1994.

27 DNQ / Novolak Photoresists Interactions of Photoactive Molecule with Matrix Dissolution Rate ( /s) 10,000 + OH hν R p 10 + R 0 *Courtesy George Barclay (Shipley)

28 Limited Light Sources 248 nm 365 nm R = k 1 λ/na Changing Wavelengths 248 nm 193 nm 157 nm EUV (13 nm) X-ray

29 Resists with Chemical Amplification Resist Components Polymer Solvent Photoacid Generator (PAG) Additives (e.g. DI,plasticizer)

30 Positive Chemically Amplified Photoresist Chemistry hv H + PAG 0.40µm *Courtesy George Barclay (Shipley) 0.12µm

31 Photoacid Generator (PAG) Classes Non-Ionic PAGs Halogenated Compounds: Sulfonate Esters/Sulfones: Ionic PAGs Onium Salts: *Courtesy George Barclay (Shipley)

32 Positive Photoresist Technology Differential in Aqueous Base Solubility - Deprotection Chemistry 130 C + H + Dissolution Rate 40 A/sec 30,000 A/sec *Courtesy George Barclay (Shipley)

33 Photoresists for ArF (193 nm) Lithography The current state-of-the-art in the microelectronics industry. Capable of producing features as small as 65 nm. Nikon Precision, Inc. 33

34 Resist Transparency at 193 nm Aromatic groups are highly absorbing at 193 nm wavelength Phenolic groups used for 248 nm lithography cannot be used here Methacrylate groups are transparent Low plasma etch resistance Alicyclic groups are transparent Plasma etch resistance similar to aromatics Kunz RR, Allen RD, Hinsberg WD, Wallraff GM.. Proc. SPIE 1993; 1925: Takechi S, Kaimoto Y, Nozaki K, Abe N. J. Photopolym. Sci. Technol. 1992; 5:

35 First 193 nm Photoresist Poly(t-butyl methacrylate - methacrylic acid) Excellent transparency Excellent solubility Poor etch resistance Kunz RR, Allen RD, Hinsberg WD, Wallraff GM.. Proc. SPIE 1993; 1925:

36 Alicyclic Structures Improve Etch Resistance Cycloolefin-maleic anhydride (COMA) resist Norbornene group adds etch resistance Maleic anhydride group adds solubility Carboxylic acid leads to film swelling during development Allen RD, Wallraff GM, DiPietro RA, Kunz RR. J. Photopolym. Sci. Technol. 1994; 7: Allen RD, et al. J. Photopolym. Sci. Technol. 1995; 8:

37 Dry Film Photoresists polyester support sheet for the photosensitive material layer of photoactive monomer mixed with polymeric binder and other materials polyolefin cover sheet withich prevents photoresist from sticking or blocking when it is wound on a roll exposures can take several minutes 37

38 Dry Film Initiator Structure Cl Cl Cl N N 2 N N N light N Ia Ia + H 3 C H 2 C N R R Cl N + H 3 C H C N R R II N IIa 38

39 Dry Film Dye Formation R R R N R N Ia + CH N R R C N R R III R N R R N R R N R - electron C N R R R N R 39

40 Pattern Formation O O IIa + O CH 2 H 2 H 2 O C C C O O Polymer Network CH 2 IV CH 3 IIa + IV + * H C H 2 C H C H C * n O O Polymerized matrix OH OH V 40

41 Circuitization 41

42 Defined Systems System Cleaning/Wet Process Wet Stripper/Developer Large High Vacuum Coater* In-line Defect Inspection* Precision Lithography* Precision Wet Coat & Bake OLED Evaporation Source* Supplier Kraemer Koating Hollmuller Siegmund CHA ECD Azores Frontier Industrial KJL Small High Vacuum Coater* Manual Inspection Table *USDC supported TBD TBD 42

43 Cleaning/Wet Processing: Capability Unwind Rewind Scrub/ Rinse Kraemer Koating, to 14 width Poly Tank Poly Tank SS Tank Designed for cleaning and/or wet processing Recirculation w/cascading possible 0.2 to 10 FPM 0.5 PLI to 1.6 PLI Air Knife 43

44 Wet Stripper/Developer: Capability Hollmuller Siegmund (MacDermid) 1993 Up to 15 width Designed for Develop & Strip Heated tanks (three process and two rinse) Stripper: Stainless Steel (DuPont Riston II S-1100X) Developer: Polypropylene (DuPont Riston II D-2000) Air Knife Currently rebuilding web handling 44

45 Precision Lithography: Capability AzoresCorp, 2006 Based on proven FPD stepper 8 width, can handle up to 24 with new chucks g-line (436 nm) 4 µm L/S 230 to 760 mm/min 400 ppm distortion compensation Requires hole-punch pattern for pre- alignment: 45 Web handlers in test

46 Other Printing Methods A B Silicone pad C D Cliche Ink Substrate Transducer Ink reservoir E F Nozzle Substrate 46

47 Inkjet Methods Thermal Inkjet Printing Piezoelectric Inkjet Printing 47

48 Ink Jet Printing A Surface energy pattern Ink droplet B 500 nm C 48

49 Drop Spreading A B channel drain C gate source 100 µm 49

50 Wetting Control Surfactant molecules PEDOT A PEDOT/surfactant PEDOT B 50 µm C 50

51 Ink Jet Circuits A B B C 51

52 Printed Designs 52

53 Soft Lithography Umbrella term for unconventional lithography Includes molding, embossing and printing. Recent reviews: Gates, B.D. et al, Chem. Rev. 2005, 105, 1171 Gates, B.D. et al, Annu. Rev. Mater. Res. 2004, 34, 339 Resnick, D. J. et al, Materials Today, 2005, 8, 34 Included in ITRS roadmap (2010) 53

54 Comparison of Imprint Lithographies Christie R. K. Marrian and Donald M. Tennant, Nanofabrication, J. Vac. Sci. Technol. A 21(5) S

55 Step and Flash Process T. Bailey, B. J. Choi, M. Colburn, M. Meissl, S. Shaya, J. G. Ekerdt, S. V. Sreenivasan, and C. G. Willson, Step and flash imprint lithography: Template surface treatment and defect analysis, J. Vac. Sci. Technol. B

56 Sub-100 nm Features

57 Microcontact Printing (µcp) Uses a soft stamp to apply ink to a substrate Soft Stamp (i.e. PDMS) Wet with Ink i.e. thiol. Soft Stamp (i.e. PDMS) Transfer Ink Press Stamp Substrate, typically a metal Etch Ink binds by Chemisorption of Physisorbtion Forms self assembled monolayer (SAM) 57 at point of contact with substrate

58 Fabrication of Stamps for Soft Lithography Photoresist Hard Substrate Elastomeric pre-polymer Expose + Develop Cure/Heat Peel off Etch Elastomeric polymer Use as Hard Mold or. Use to make soft stamp Hard substrates include quartz, SiO 2, Cr. 58 Soft stamps made from PDMS, PFPE

59 Pros and Cons of µcp Can generate large patterns of SAM s (>cm 2 ) across curved surfaces. (Delamarche, E. et al. Langmuir 2003, 19, 8749) Good for fictionalization of surfaces for different applications, i.e. biomaterials (Brock, A. et al, Langmuir 2003, 19, 1611) Resolution depends on binding of ink to substrate. Can t be considered a universal method. 59

60 Nanoimprint lithography (NIL) Uses rigid mold (i.e. silicon) Ridged Mold Ridged Mold Ridged Mold Substrate Polymer Film Substrate Ridged Mold Substrate Heat > T g and Imprint Cool < T g Release Mold Etch, etc. High Temp., High Pressure High viscosity medium Can be difficult to fill all voids in the mold and obtain uniform patterns 60

61 Applications of NIL Extension of process used to make DVD s, holograms etc. SEM images of structures patterned by nanoimprint: (a) 10-nm diameter metal dots with a periodicity of 40 nm, and (b) Fresnel zone plates with a 125-nm minimum line width. (c) SEM image of features patterned by SAMIM. 61 Gates, B.D. et al, Annu. Rev. Mater. Res. 2004, 34, 339.

62 Problems with NIL Density of patterning layer Easiest Easy Very Difficult! (Slide Courtesy of G. Willson) Base layer Solution? Use a low viscosity patterning layer 62

63 Step-and-flash Imprint Lithography (SFIL) etch barrier Dispense Imprint Expose template release treatment UV Cure transfer layer Etch barrier: UV Curable monomer (low viscosity) Avoids density problems with NIL Residual layer (Slide Courtesy of G. Willson) Separate Breakthrough Etch Transfer Etch Halogen RI Etch 63 O 2 RI Etch

64 Composition of the Etch Barrier O 2 Etch Resistance X-Linker (Lowers Viscosity) UV Free- 64 Radical Initiator

65 Resolution of SFIL 30 nm 20 nm 20 nm Resolution theoretically limited by template Pattern fidelity not so good for small feature sizes-still some interaction between template and etch barrier (Slide Courtesy of G. Willson) 65

66 Step-and-Flash Imprint Lithography (SFIL) Low cost, potential for step-and-repeat process Formation of multilayer structures possible SEM images showing cross sections of multi-tiered structures on a template fabricated with alternating layers of ITO and PECVD oxide. Johnson et al., Microelectron. Eng (2003), 67,

67 Soft Lithography: Summary Low cost compared to Photolithography Potential for Step-and-repeat processes SFIL looks most promising technique Pattern fidelity issues must be overcome! Materials Chemistry Solution? 67

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Nano Materials. Nanomaterials

Nano Materials. Nanomaterials Nano Materials 1 Contents Introduction Basics Synthesis of Nano Materials Fabrication of Nano Structure Nano Characterization Properties and Applications 2 Fabrication of Nano Structure Lithographic techniques

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Applied Surface Science

Applied Surface Science Applied Surface Science 254 (2008) 7064 7068 Contents lists available at ScienceDirect Applied Surface Science journal homepage: www.elsevier.com/locate/apsusc Aliphatic dithiocarboxylic acids: New adsorbates

More information

Composition and Photochemical Mechanisms of Photoresists

Composition and Photochemical Mechanisms of Photoresists OpenStax-CNX module: m25525 1 Composition and Photochemical Mechanisms of Photoresists Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Advances in Step and Flash Imprint Lithography

Advances in Step and Flash Imprint Lithography Advances in Step and Flash Imprint Lithography S.C. Johnson, T.C. Bailey, M.D. Dickey, B.J. Smith, E.K. Kim, A.T. Jamieson, N.A. Stacey, J.G. Ekerdt, C.G. Willson Texas Materials Institute University of

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition Fabrication-II Electron Beam Lithography Pattern Design Thin Film Deposition By Charulata Barge, Graduate student, Prof. Zumbühl Group, Department of Physics, Universtity of Basel. Date:- 20th Oct. 2006

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

NanoImprint Materials. March, IBM Almaden Research Center

NanoImprint Materials. March, IBM Almaden Research Center NanoImprint Materials Ratnam Sooriyakumaran Hiroshi Ito Mark Hart Frances Houle Geraud Dubois Robert Miller Robert Allen March, 2006 05/24/2006 Imprint in IBM IBM Research Division is conducting an exploratory

More information

520/ Photolithography (II) Andreas G. Andreou

520/ Photolithography (II) Andreas G. Andreou 520/580.495 Photolithography (II) Andreas G. Andreou Lecture notes from Positive Photoresists and Photolithography by R. Darling http://www.engr.washington.edu/~cam/processes A.G. Andreou 2000 1 Lecture

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly I. Nanofabrication and Characterization : TOC I. NANOFABRICATION O AND CHARACTERIZATION Chap. 1 : Nanolithography Chap. 2 : Self-Assembly Chap. 3 : Scanning Probe Microscopy Nanoscale fabrication requirements

More information

Molecular Electronics For Fun and Profit(?)

Molecular Electronics For Fun and Profit(?) Molecular Electronics For Fun and Profit(?) Prof. Geoffrey Hutchison Department of Chemistry University of Pittsburgh geoffh@pitt.edu July 22, 2009 http://hutchison.chem.pitt.edu Moore s Law: Transistor

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

At a Glance. If contact and imprint lithography techniques are going to supplant more established optical methods,

At a Glance. If contact and imprint lithography techniques are going to supplant more established optical methods, six0206con.qxd 05/15/2002 10:15 AM Page 71 Release Layers for Contact and Douglas J. Resnick and David P. Mancini, Motorola Labs, Tempe, Ariz. S.V. Sreenivasan and C. Grant Willson, University of Texas,

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Nanofabrication. with molds & stamps

Nanofabrication. with molds & stamps Nanofabrication with molds & stamps by Byron D. Gates A number of methods can be used to fabricate patterns with features having dimensions

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking,

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking, Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a Low-Surface-Energy, Diffusion-Blocking, Covalently Bonded Perfluoropolyether Layer and Its Application to the Fabrication of Organic Electronic

More information

Step and flash imprint lithography: Template surface treatment and defect analysis

Step and flash imprint lithography: Template surface treatment and defect analysis Step and flash imprint lithography: Template surface treatment and defect analysis T. Bailey, B. J. Choi, M. Colburn, M. Meissl, S. Shaya, J. G. Ekerdt, S. V. Sreenivasan, and C. G. Willson Texas Materials

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Supplementary Information

Supplementary Information ature anotechnology reference number: AO-06110617A Growth and alignment of polyaniline nanofibres with superhydrophobic, superhydrophilic and other properties an-rong Chiou 1,2,3, Chunmeng Lu 1, Jingjiao

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

UNCONVENTIONAL NANOFABRICATION

UNCONVENTIONAL NANOFABRICATION I 26 Mar 2004 16:10 AR AR218-MR34-11.tex AR218-MR34-11.sgm LaTeX2e(2002/01/18) P1: FHD (Some corrections may occur before final publication online and in print) R E V I E W S N A D V A N E C Annu. Rev.

More information

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis*

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Dr. W. J. Hyun, Prof. C. D. Frisbie, Prof. L. F. Francis Department of Chemical Engineering and Materials Science

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

New Approaches to Nanofabrication: Molding, Printing, and Other Techniques

New Approaches to Nanofabrication: Molding, Printing, and Other Techniques Chem. Rev. 2005, 105, 1171 1196 1171 New Approaches to Nanofabrication: Molding, Printing, and Other Techniques Byron D. Gates, Qiaobing Xu, Michael Stewart, Declan Ryan, C. Grant Willson,*, and George

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Application of polymers to photoresist materials

Application of polymers to photoresist materials Nippon Gomu Kyokaishi, No. 2, 2012, pp. 33 39 Application of polymers to photoresist materials A. Soyano Semiconductor Materials Laboratory, Fine Electronics Materials Research Laboratories, JSR Corporation,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS A Thesis Presented to The Academic Faculty by Lovejeet Singh In Partial Fulfillment of the Requirements for the Degree Doctor

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

ESH Benign Processes for he Integration of Quantum Dots (QDs)

ESH Benign Processes for he Integration of Quantum Dots (QDs) ESH Benign Processes for he Integration of Quantum Dots (QDs) PIs: Karen K. Gleason, Department of Chemical Engineering, MIT Graduate Students: Chia-Hua Lee: PhD Candidate, Department of Material Science

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

High speed vacuum deposition of organic TFTs in a roll-to-roll facility

High speed vacuum deposition of organic TFTs in a roll-to-roll facility High speed vacuum deposition of organic TFTs in a roll-to-roll facility Dr Hazel Assender University of Oxford 1 Prof Martin Taylor Eifion Patchett, Aled Williams Prof Long Lin Prof Steve Yeates Dr John

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Chemie des Klebens Chemistry of Adhesives Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Innovative Technologies 1 Overview Chemie des Klebens Chemistry of Adhesives Introduction

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Polymer Reaction Engineering

Polymer Reaction Engineering Polymer Reaction Engineering Polymerization Techniques Bulk Solution Suspension Emulsion Interfacial Polymerization Solid-State Gas-Phase Plasma Polymerization in Supercritical Fluids Bulk Polymerization

More information

EE 434 Lecture 7. Process Technology

EE 434 Lecture 7. Process Technology EE 434 Lecture 7 Process Technology Quiz 4 How many wafers can be obtained from a 2m pull? Neglect the material wasted in the kerf used to separate the wafers. 2m And the number is. 1 8 3 5 6 4 9 7 2 1

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature Supplementary Material (ESI) for Lab on a Chip This journal is The Royal Society of Chemistry 2005 Supporting Information Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches Shivani Joshi 1,2, *, Rishab Bagani 1, Lucas Beckers 2 and Ronald Dekker 1,2 1 Department of

More information