NanoImprint Materials. March, IBM Almaden Research Center

Size: px
Start display at page:

Download "NanoImprint Materials. March, IBM Almaden Research Center"

Transcription

1 NanoImprint Materials Ratnam Sooriyakumaran Hiroshi Ito Mark Hart Frances Houle Geraud Dubois Robert Miller Robert Allen March, /24/2006

2 Imprint in IBM IBM Research Division is conducting an exploratory project on Materials for Imprint Lithography and Applications. This is not part of IBM s Semiconductor Lithography Roadmap. 2 Sematech Litho Forum 05/24/2006

3 NanoImprint Materials: utline Imprint: A Lithography System process/materials/tool Imprint Materials Requirements Core Challenges in Imprint Materials with examples Resists for high speed patterning Low Dielectric Materials for Dual Damascene Template Fabrication Materials Summary and Conclusions 3 Sematech Litho Forum 05/24/2006

4 Imprint: The System (thanks to Molecular Imprints!) TL MATERIAL TEMPLATE ETCHER FR DRY DEVELP Litho Metrics Tool Impact Material Impact Template Impact Etcher Impact Resolution HIGH LW HIGH LW CD control HIGH LW HIGH LW verlay HIGH LW HIGH LW Defects HIGH HIGH HIGH LW Throughput HIGH HIGH LW LW 4 Sematech Litho Forum 05/24/2006

5 It s different this time.with few similarities Conventional (193nm) Squirt the juice 1-5 cc of photoresist solution per wafer Bake (PAB) Introduce wafer into exposure tool Scan/expose Exit wafer out of tool PEB Develop Etch Transfer Squirt the juice onto wafer inside the tool 1-5 microliters of photoactive monomers per field Fill the mold (quickly!) Expose Imprint Material Cures (really quickly!) Delaminate mold Step and repeat Etch Transfer 5 Sematech Litho Forum 05/24/2006

6 Step and Flash Imprint Taxonomy Template Etch Barrier (Resist) Transfer Layer Charge Dissipation Layer Patterned Layer Release Layer Residual Layer Adhesion Layer Adhesion Layer Substrate 6 Sematech Litho Forum 05/24/2006

7 Interface energetics and stability in UV-cure nanoimprint lithography: potential sources of defectivity Cohesive failure of resist Resist adhesion to template despite release layer template polymer silicon Release layer Cured resist Adhesion failure Failure of resist to wet surfaces 7 Sematech Litho Forum 05/24/2006

8 Imprint Materials Requirements Low Viscosity Required for high fill rates Proper Surface Tension and compatibility with release coating High speed cure (for low dwell times) Low adhesive forces after cure (Clean Release) Proper Mechanical Properties after cure? Etch Resistance for pattern transfer In resist (Si Resist) In planarization material (reverse tone process) 8 Sematech Litho Forum 05/24/2006

9 Core Challenges: Materials for NIL Materials Sacrificial (resist) layers Image reversal materials Permanent Materials (e.g., Dual Damascene ILD Material) Template fabrication materials fundamentals High Performance Release (Low Defectivity) Durability of release coating Control/robustness of surfaces 9 Sematech Litho Forum 05/24/2006

10 Release Investigations glass resist XPS, contact angle, AFM silicon cure conditions: 365nm (unfiltered), 30s, 35mW/cm 2 separate or delaminate in double cantilever beam mode for adhesion measurement XPS, contact angle, AFM Resists: acrylates, vinyl ethers and NID with varying chemical characteristics Release layers: fluorosilanes 10 Sematech Litho Forum 05/24/2006

11 Chemical degradation of release layer during cure: XPS of SiA resist and release surfaces shows fluorine loss and oxidation release layer (unused) (CF 2 ) x SiA resist, glass side C region SiA resist, silicon side C region C-C,H intensity, arb units release layer after cure CF, C, C-C,H intensity, arb. units material on glass after cure, no release treatment resist cured in contact with glass, no release resist cured in contact with release layer C,F C, binding energy (ev) Surface compositions Si/C F/C Si/ Glass, no release Resist, no release Virgin release layer Glass, release Resist, release binding energy (ev) No release: resist transfer to glass Release: some C, F loss and increase on glass, little F on resist 11 Sematech Litho Forum 05/24/2006

12 Cure Chemistry ptions for Imprint Resists Free Radical (e.g., Acrylate) Higher Viscosity Carefully balanced volatility High Speed Cure Simple formulations Cationic (e.g., Epoxy or Vinyl Ether) Low Viscosity High Speed Cure Possible Although most are slow! Complex Formulations 12 Sematech Litho Forum 05/24/2006

13 Cationically Curable Etch Barrier Silanol condensation (slow, H 2 elimination) Ring-opening polymerization of Si-containing epoxides (slow especially with perfluoroalkanesulfonic acid, less shrinkage) Addition polymerization of Si-containing vinyl ethers (fast, more shrinkage) EGDVE 0.75 cps at 25 o C (UT Austin) volatile, poor solvent of PAG DEGDVE TEGDVE good solvent for PAG E. K. Kim et al., J. Vac. Sci. Technol., B22(1), 131(2004); B23(6), 2967(2005) Si-VE Si 1.0 cps at 25 o C (UT Austin) poor solvent for PAG Si=16.3% Si Si Si Si Si Si=21.6% Si=35.2% TMS 3 Si-VE TMS-VE Viscosity (less viscous than acrylates) Volatility Si concentration PAG solubility TFEVE CF 3 better release? CF 2 CF 2 CF 2 CF 3 NFHVE Central Glass 13 Sematech Litho Forum 05/24/2006

14 Epoxy vs. Vinyl Ether Cure Time (7.4 mw/cm 2 at 254 nm without filter) Cure Kinetics EGDGE wt% S SbF sec 10 9 photo DSC A, B, & C nm, 25 o C DEGDVE wt% wt% S S S 2 CF 3 S 2 CF 3 >>200 sec <5 sec Heat Flow (W/g) D DEGDVE + Ph 2 SPhCH 3 Tf +anthmeh 0.05 min exp A EGDGE + TPSSbF6 1.0 min exp B EGDGE + TPSTf 5.0 min exp CH 3 + H Time (min) 14 Sematech Litho Forum 05/24/2006

15 AMRC Project on Low-k Dielectric Materials for NIL Grant Willson and team working with IBM Research (Scope ILD NIL Materials and Processes for back-end process simplification).can NIL be used for semiconductor processing? Almaden Team represented by Mark Hart Assignee to AMRC/Nanoimprint Lithography UT Mark is backed up by chemists in 3 groups at Almaden Low-k team (characterization and new materials designs) Resist (litho materials) Team (Silicon Chemistry) SDL (Formulation Scale-up) Willson Group has NanoImprint experience IBM supplying initial materials to UT with new design IBM supplying low-k know-how and characterization Exchange of personnel for future materials directions IBM Research Assignee: Mark W. Hart (External Programs (7/05 1/06), Lithography (1/06 7/06)) 15 Sematech Litho Forum 05/24/2006

16 Why NIL for Integration? Current Dual-Damascene Integration Scheme Dispense ur Proposed Integration Scheme Template PSS Deriva Patterned Wa Press and Photocure Release Breakthrough Etch High Temp Thermal cure M. Neisser et. al, Solid State Technology August 2000, Pp Up to 18 steps Metal Deposition CMP 16 Sematech Litho Forum 05/24/2006

17 Photocurable Dielectric Material Requirements Property/Characteristic Low Viscosity Photocurable Cure Shrinkage Dielectric Constant Thermal Stability Mechanical Properties CTE Water Sorption Requirement Less than 50 cps Fast Chain polymerization Less than 15% ε 3 Less than 1% wt 400 o C Young s Modulus 5 GPa Less than 25 ppm/ o C Less than 0.5% wt 17 Sematech Litho Forum 05/24/2006

18 Nano Imprint Materials for Back End Process (Project Flow) Early Charcterization Viscosity Volatility Cure kinetics (photodsc) New Materials Synthesis Formulation Development Cured film properties Mechanical and Electrical Adhesion/Delamination/Interfacial Volatility measurement using the TGS-2 microbalance Printing w/ P Imprio-55 and Suss a Interface Crack b Adhesion energy 18 Sematech Litho Forum 05/24/2006

19 ur Material Design Polymerizable functional groups R = R R Si Si R R Si Si Si Si R R Si Si R R cto-pss (an 8-legged beast) IBM Process + Polymerizable diluent to adjust the viscosity + Photoinitiators High Temperature Cure after printing (burn out) (this removes most of acrylic for lower density (lower k) 19 Sematech Litho Forum 05/24/2006

20 PSS Reactive Diluents for NIL Property Adjustment (methacrylates) ur toolbox CH 3 IBMA (High Tg, high viscosity) Si Si Si Si MMA (Low viscosity, high volatility) CHMA 4SiMA (% silicon adjustor) EHMA (High Tg, Lower Viscosity) (Low viscosity. Low Tg, Low Volatility) 20 Sematech Litho Forum 05/24/2006

21 NIL Material Data printing on UT 50 nm l/s Reference Acrylate IBM ILD NIL material (1 cp) (25 cp) 21 Sematech Litho Forum 05/24/2006

22 NIL Material Data printing on UT Before and After Cure k ~ 3.9 k < 2.9 IBM ILD NIL material (25 cp) IBM ILD NIL material (25 cp) (Shrinkage > 25% after C cure) 22 Sematech Litho Forum 05/24/2006

23 PSS based Low-k NanoImprinting Materials Properties Treatment t (nm) RI Density (g/cm3) k (25 0 C) E Modulus (GPa) UV/ RT UV/RT 400 o C / 1h Sematech Litho Forum 05/24/2006

24 Summary IBM engaged with UT (Willson Group) and Sematech on exploratory project on materials for NanoImprint Litho for Back End Process Simplification Several chemical approaches toward NIL ILD s have been indentified. We ve created a class of materials with low viscosity and volatility, good printability and low k after curing/burning. ctoposs/monofunctional methacrylate formulations Si/C ratio is easily modulated for etch property control Several approaches for NIL back end processes beginning Permanent (complex template, difficult materials) PSS Standard (sacrificial) (template easier, materials easier, etch difficult) 24 Sematech Litho Forum 05/24/2006

25 Template Materials (e-beam resists) Desire positive and negative tone materials with the following characteristics for this 1X application Resolution to support 22nm node Low LER Relatively fast writing speeds 25 Sematech Litho Forum 05/24/2006

26 nable-an Internally developed negative resist (e-beam) 200 nm pitch/200uc/cm 2 Linewidth = 31 nm Height = 119 nm 26 Sematech Litho Forum 05/24/2006

27 Conclusions and Recommendations Template is Achilles Heel Industry focus on mask technology should be leveraged Better Resists for mask/template making (resolution/speed/ler) Imprint R&D should be enabled by Sematech for 22nm node Tooling Materials Testing 27 Sematech Litho Forum 05/24/2006

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Advances in Step and Flash Imprint Lithography

Advances in Step and Flash Imprint Lithography Advances in Step and Flash Imprint Lithography S.C. Johnson, T.C. Bailey, M.D. Dickey, B.J. Smith, E.K. Kim, A.T. Jamieson, N.A. Stacey, J.G. Ekerdt, C.G. Willson Texas Materials Institute University of

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography

Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography E. K. Kim, N. A. Stacey, B. J. Smith, M. D. Dickey, S. C. Johnson, B. C. Trinque, and C. G. Willson a) Department

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

A New Dielectrophoretic Coating Process for Depositing Thin Uniform Coatings on Films and Fibrous Surfaces

A New Dielectrophoretic Coating Process for Depositing Thin Uniform Coatings on Films and Fibrous Surfaces A New Dielectrophoretic Coating Process for Depositing Thin Uniform Coatings on Films and Fibrous Surfaces by Angelo Yializis Ph.D., Xin Dai Ph.D. Sigma Technologies International Tucson, AZ USA SIGMA

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures Supplementary Information Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye Nanostructures Lei Zhou, Qing-Dong Ou, Jing-De Chen, Su Shen, Jian-Xin Tang,* Yan-Qing Li,* and Shuit-Tong

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Thermal and UV-curing Behavior of Inks, Adhesives, and Coatings by Photo-, In-situ DEA and DMA.

Thermal and UV-curing Behavior of Inks, Adhesives, and Coatings by Photo-, In-situ DEA and DMA. Thermal and UV-curing Behavior of Inks, Adhesives, and Coatings by Photo-, In-situ DEA and DMA. Dr. Gilles Widawski, Netzsch Instruments North America, Burlington, MA, USA Dr. Stephan Knappe, NETZSCH-Gerätebau

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Synergistic Effect of Hydroxyl-containing Acrylates in Epoxide-Acrylate Hybrid Photopolymerizations Abstract Introduction Experimental Materials

Synergistic Effect of Hydroxyl-containing Acrylates in Epoxide-Acrylate Hybrid Photopolymerizations Abstract Introduction Experimental Materials Synergistic Effect of Hydroxyl-containing Acrylates in Epoxide-Acrylate Hybrid Photopolymerizations Gbenga I. Ajiboye, Julie L. P. Jessop* Department of Chemical & Biochemical Engineering, University of

More information

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems J. Baghdachi, Ph.D. Coatings Research Institute Eastern Michigan University (734) 487-3192 Freshpaint@aol.com jamil.baghdachi@emich.edu

More information

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler Metrology challenges in High volume ULK production 20.10.10 Ulrich Mayer, Michael Hecker, Holm Geisler outline ILD material choice in GLBALFUNDRIES New ULK processes and parameters Mechanical frontiers

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Photoinitiation, Photopolymerization, and Photocuring

Photoinitiation, Photopolymerization, and Photocuring Jean-Pierre Fouassier Photoinitiation, Photopolymerization, and Photocuring Fundamentals and Applications Hanser Publishers, Munich Vienna New York Hanser/Gardner Publications, Inc., Cincinnati Contents

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA tudy of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer cience, Inc. 241 Brewer Dr., Rolla, MO 6541, UA ABTRACT The topography of a back-end wafer contains high-aspect

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers Claudio Truzzi, PhD Chief Technology Officer Alchimer Overview Introduction Electrografting (eg) Technology Description

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

POLYFLUOR Fabric Protector (PFOS & PFOA free)

POLYFLUOR Fabric Protector (PFOS & PFOA free) POLYFLUOR Fabric Protector (PFOS & PFOA free) imagine! Resins Grade BS BS 3901 SR NI 3918 3936 Description emulsion emulsion solution Solids 30% 30% 20% 20% 15% 20% Ionicity Cationic Cationic - Non ionic

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Supplementary Figures

Supplementary Figures Supplementary Figures I n t e g r a l 2. 0 1 3 9 2. 0 4 1 5 0. 0 4 4 2 1. 0 0 0 0 1. 0 0 3 2 4. 1 0 0 6 2. 9 8 6 5 1 0. 1 9 4 8. 5 8. 0 7. 5 7. 0 6. 5 6. 0 5. 5 5. 0 4. 5 4. 0 ( p p m ) 3. 5 3. 0 2. 5

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Curing Properties of Cycloaliphatic Epoxy Derivatives

Curing Properties of Cycloaliphatic Epoxy Derivatives Curing Properties of Cycloaliphatic Epoxy Derivatives Hiroshi Sasaki Toagosei Co. Ltd. Nagoya, Japan Introduction UV-cationic-curing, based on the photo-generation of acid and consecutive cationic polymerization,

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

USE OF RHEOLOGY AS A DEVELOPING AND TROUBLESHOOTING TOOL FOR PSA APPLICATIONS.

USE OF RHEOLOGY AS A DEVELOPING AND TROUBLESHOOTING TOOL FOR PSA APPLICATIONS. USE OF RHEOLOGY AS A DEVELOPING AND TROUBLESHOOTING TOOL FOR PSA APPLICATIONS. Michael DeFrancisis, Applications Engineer, Henkel, Bridgewater, NJ Yayun Liu, Senior Development Scientist, Henkel, Bridgewater,

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER Srinivasulu Korrapati B.E., Anna University, India, 2005 PROJECT Submitted

More information

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Chemie des Klebens Chemistry of Adhesives Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Innovative Technologies 1 Overview Chemie des Klebens Chemistry of Adhesives Introduction

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

At a Glance. If contact and imprint lithography techniques are going to supplant more established optical methods,

At a Glance. If contact and imprint lithography techniques are going to supplant more established optical methods, six0206con.qxd 05/15/2002 10:15 AM Page 71 Release Layers for Contact and Douglas J. Resnick and David P. Mancini, Motorola Labs, Tempe, Ariz. S.V. Sreenivasan and C. Grant Willson, University of Texas,

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

http://kth.diva-portal.org This is an author produced version of a paper published in IEEE 6th International Conference on Micro Electro Mechanical Systems (MEMS), 013. This paper has been peer-reviewed

More information

Avatrel Dielectric Polymers for Electronic Packaging

Avatrel Dielectric Polymers for Electronic Packaging Avatrel Dielectric Polymers for Electronic Packaging R. A., Shick, S. K. Jayaraman, B. L. Goodall, L. F. Rhodes, W.C. McDougall Advanced Technology Group BF Goodrich Company 9921 Brecksville Road Cleveland,

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs Electron Beam Curable Varnishes Rapid Processing of Planarization Layers on Polymer Webs Juliane Fichtner, Michaela Hagenkamp, Markus Noss, Steffen Günther Fraunhofer Institute for Organic Electronics,

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Rajiv K. Singh, F.C. Chang and S. Tanawade, Gary Scheiffele Materials Science and Engineering Particle Science Engineering

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics P. Lazzeri 1, L. Vanzetti 1, M. Bersani 1, M. Anderle 1, J.J. Park 2, Z. Lin 2,, R.M. Briber 2, G.W. Rubloff 2,

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Cationic UV Curing Speeding up reactivity 15x with Curalite

Cationic UV Curing Speeding up reactivity 15x with Curalite Cationic UV Curing Speeding up reactivity 15x with Curalite European Coatings Show, April 5 th 2017 Presented by David Engberg Introduction Background MSc. In Chemical Engineering at the Faculty of Engineering

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

THE MATRIX: EVOLUTIONS II

THE MATRIX: EVOLUTIONS II THE MATRIX: EVOLUTIONS II Pearl Sullivan Composites and Adhesives Group Department of Mechanical Engineering University of Waterloo IPR 28 th Annual Symposium, 16 May 2006 Scope: Multi-scale Analyses Atomic/Nanoscale

More information

Photoinitiators. For cationic UV curing processes.

Photoinitiators. For cationic UV curing processes. Photoinitiators For cationic UV curing processes. / Cationic Photoinitiators from Deuteron: Benzene-free, low odour, rapid curing speed. The cationic photo-initiated polymerisation of epoxides and oxetanes

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Plasma polymers can be used to modify the surface chemistries of materials in a controlled fashion (without effecting bulk chemistry).

Plasma polymers can be used to modify the surface chemistries of materials in a controlled fashion (without effecting bulk chemistry). Plasma polymers can be used to modify the surface chemistries of materials in a controlled fashion (without effecting bulk chemistry). An example used here is the modification of the alumina surface of

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

ADDITIVES. HIGH SOLIDS AND WATER- BORNE COATINGS Werner J. Blank Rudy Berndlmaier & Dan Miller King Industries Inc.

ADDITIVES. HIGH SOLIDS AND WATER- BORNE COATINGS Werner J. Blank Rudy Berndlmaier & Dan Miller King Industries Inc. You are now at www.wernerblank.com HOME NEWS PUBLICATIONS LECTURES PATENTS DOWNLOADS ADDITIVES FOR HIGH SOLIDS AND WATER- BORNE COATINGS Werner J. Blank Rudy Berndlmaier & Dan Miller King Industries Inc.

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW

CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW 1 Viscosity Flexibility Thermal-activation temperature Solubility

More information

Determining cure profile and post-cure shrinkage of photopolymers using UV accessory on a rotational rheometer

Determining cure profile and post-cure shrinkage of photopolymers using UV accessory on a rotational rheometer Determining cure profile and post-cure shrinkage of photopolymers using UV accessory on a rotational rheometer RHEOLOGY AND VISCOSITY Introduction A photopolymer usually consists of monomers, oligomers

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Photonics applications 5: photoresists

Photonics applications 5: photoresists IMI-NFG s Mini Course on Chalcogenide Glasses Lecture 11 Photonics applications 5: photoresists Himanshu Jain Department of Materials Science & Engineering Lehigh University, Bethlehem, PA 18015 H.Jain@Lehigh.edu

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information