520/ Photolithography (II) Andreas G. Andreou

Size: px
Start display at page:

Download "520/ Photolithography (II) Andreas G. Andreou"

Transcription

1 520/ Photolithography (II) Andreas G. Andreou Lecture notes from Positive Photoresists and Photolithography by R. Darling A.G. Andreou

2 Lecture Summary Positive Photoresists Photoresist Spinning Mask Aligning and Exposure A.G. Andreou

3 Advantages of Positive Photoresists Most commonly used in the IC industry. Superior to negative photoresists because: They do not swell during development. They are capable of finer resolution. They are reasonably resistant to plasma processing operations.

4 Phenolic Resins - 1 Phenolic resins are condensation polymers of aromatic alcohols and formaldehyde. Bakelite was the first thermosetting plastic. Phenolic resins are readily cross-linked by thermal activation into rigid forms. Most phenolic resins are readily dissolved by aqueous alkaline solutions, e.g. NaOH, KOH, NH 4 OH.

5 Phenolic Resins - 2 OH phenol + O C H H formaldehyde OH H 2 OH H 2 OH C C H 2 C bakelite + O H H water

6 Phenolic Resins - 3 OH CH 3 para-cresol + O H C H formaldehyde OH H 2 OH H 2 OH C C H 2 C CH 3 CH 3 CH 3 novolac + H O H water

7 Important Properties of the Base Phenolic Resin average molecular weight typically in the range of 1000 to 3000 g/mole (8 to 25 repeating units in the polymer chain) dispersity of the molecular weights isomeric composition of the cresols ortho-cresol meta-cresol para-cresol relative position of the methylene linkages (--CH 2 --)

8 OH CH 3 Cresol Isomers OH OH ortho-cresol meta-cresol CH 3 CH 3 para-cresol OH CH 3 OH H 2 C OH H 2 C CH 3 H 2 C CH 3 ortho-cresol resin meta-cresol resin para-cresol resin

9 Cresol Isomer Properties Single isomers and smaller molecular weights are desirable Manufacture of positive photoresist relies heavily upon obtaining only a single isomer of the resin, usually paracresol. Each monomer is [C 8 H 8 O] ( g/mole) Isomer Methylene Link Molecular Weight Dissolution Rate Plastic Flow Temp. ortho-cresol g/mole 2.7 A/sec 85 C meta-cresol g/mole 0.7 A/sec 73 C para-cresol g/mole 3.0 A/sec 119 C

10 Photoreaction in a Positive Photoresist O N 2 + H 2 O hν diazonaphthaquinone (DQ) COOH indene carboxylic acid (ICA) + N 2

11 Dissolution of Phenolic Resins - 1 Because of the OH groups, phenolic resins are hydrophylic and are readily dissolved by aqueous alkaline solutions. Diazonaphthaquinone (DQ) is a hydrophobic and nonionizable compound. When phenolic resins are impregnated with DQ, they become hydrophobic and their dissolution is greatly inhibited. After exposure, DQ is converted into indene carboxylic acid (ICA) which is hydrophylic and very ionizable. This allows the developer to wet and penetrate the novolac resin. Phenolic resins which contain ICA instead of DQ are readily dissolved by aqueous alkaline developers.

12 Dissolution of Phenolic Resins Dissolution rate, nm/sec in 0.15 M NaOH exposed novolac + DQ unexposed novolac + DQ DQ concentration, weight percent

13 DQ Primary Photoreaction O photolysis O N 2 hν + N 2 diazonaphthaquinone (DQ) a carbene ring contraction O C hydration + H 2O COOH a ketene indene carboxylic acid (ICA) See Otto Suess, 1944 and 1947 papers in Annalen.

14 Physical Requirements on the Photoactive Component Need an overlap of the absorption spectrum with the emission spectrum of the exposure source, e.g. a Hg lamp. Need bleachability at the exposure wavelength so that the photoreaction is able to reach the resist-substrate interface. Need compatibility with the base resin (novolac) so that the two form a single, miscible phase. Need thermal stability so that the photoactive dissolution inhibitor does not break down at prebake temperatures. Photoactive dissolution inhibitors are often modified to alter their spectral absorption, thermal stability, and miscibility characteristics.

15 Spectral Absorption of Novolac, DQ, and ICA 10,000 novolac 365 Hg arc lamp lines DQ (unexposed photoinhibitor) 100 ICA (exposed photoinhibitor) Wavelength, λ, nm

16 Primary Components of a Positive Photoresist Non-photosensitive base phenolic resin usually novolac Photosensitive dissolution inhibitor usually a DQ-derived compound Coating solvent n-butyl acetate xylene 2-ethoxyethyl acetate very carcinogenic, TLV = 5 ppm now removed from most positive photoresists

17 Secondary Components of a Positive Photoresist Antioxidants Radical scavengers Amines to absorb O 2 and ketenes Wetting agents Dyes to alter the spectral absorption characteristics Adhesion promoters Coating aids

18 Sensitometric Curve for a Positive Photoresist Developed Resist Thickness normalized to working point for the resist ,000 Exposure Dose, D, mj/cm 2

19 Novolac Dissolution - 1 A minimum concentration of [OH - ] is required to produce a net forward rate: CH 2 H 3 C OH + OH - CH 2 H 3 C O - + H 2 O The dissolution rate is R = kc n, where C is the base concentration. For NaOH solutions, R = (1.3 x 10 5 ) [Na + ] 1 [OH - ] 3.7 Angstroms/second.

20 Novolac Dissolution - 2 Typical data for different developer solutions: Solution Dissolution Rate, Angstroms/second Unexposed Exposed 0.15 M NaOH M KOH M NaOH M Na2SiO M NaOH M Na3PO M NaOH M Na2CO

21 Positive Photoresist Exposure Latitude Kodak Micro Positive 820 Critical Dimension Shift, µm C prebake in convection oven C develop with agitation, 1:2 mix lines and islands spaces and windows Exposure Latitude working point: 185 mj/cm 2 BLOAT SHRINK Exposure Dose, D, mj/cm 2

22 Positive Photoresist Prebake Latitude Kodak Micro Positive 820 Critical Dimension Shift, µm 185 mj/cm 2 exposure C develop with agitation, 1:2 mix spaces and windows lines and islands Prebake Latitude working point: 95 C BLOAT SHRINK Prebake Temperature, degrees C

23 Photoresist Spin Coating Wafer is held on a spinner chuck by vacuum and resist is coated to uniform thickness by spin coating. Typically rpm for seconds. Resist thickness is set by: primarily resist viscosity secondarily spinner rotational speed Resist thickness is given by t = kp 2 /w 1/2, where k = spinner constant, typically p = resist solids content in percent w = spinner rotational speed in rpm/1000 Most resist thicknesses are 1-2 µm for commercial Si processes.

24 Photoresist Spin Coating resist dispenser excess resist flies off during rotation photoresist wafer to be coated vacuum chuck speed level out slow coat spin down time

25 Spinning Artifacts Striations ~ 30 nm variations in resist thickness due to nonuniform drying of solvent during spin coating ~ µm periodicity, radially out from center of wafer Edge Bead residual ridge in resist at edge of wafer can be up to times the nominal thickness of the resist radius on wafer edge greatly reduces the edge bead height non-circular wafers greatly increase the edge bead height edge bead removers are solvents that are spun on after resist coating and which partially dissolve away the edge bead Streaks radial patterns caused by hard particles whose diameter are greater than the resist thickness

26 Prebake (Soft Bake) - 1 Used to evaporate the coating solvent and to densify the resist after spin coating. Typical thermal cycles: C for 20 min. in a convection oven C for 45 sec. on a hot plate Commercially, microwave heating or IR lamps are also used in production lines. Hot plating the resist is usually faster, more controllable, and does not trap solvent like convection oven baking. photoresist coating solvent is driven off wafer hot plate chuck

27 Prebake (Soft Bake) - 2 A narrow time-temperature window is needed to achieve proper linewidth control. The thickness of the resist is usually decreased by 25 % during prebake for both positive and negative resists. Less prebake increases the development rate: dissolution rate, nm/sec temperature, C

28 Convection ovens: Prebake (Soft Bake) - 3 Solvent at surface of resist is evaporated first, which can cause resist to develop impermeable skin, trapping the remaining solvent inside Heating must go slow to avoid solvent burst effects Conduction (hot plate): Need an extremely smooth surface for good thermal contact and heating uniformity Temperature rise starts at bottom of wafer and works upward, more thoroughly evaporating the coating solvent Generally much faster and more suitable for automation

29 Overview of Align/Expose/Develop Steps (x,y,θ) alignment of mask to substrate uniform UV exposure illumination chrome on glass photomask photoresist (PR) latent image created in photoresist after exposure substrate wafer wet chemical development NEGATIVE PHOTORESIST Photoresist is photopolymerized where exposed and rendered insoluble to the developer solution. POSITIVE PHOTORESIST Exposure decomposes a development inhibitor and developer solution only dissolves photoresist in the exposed areas.

30 Photomasks Master patterns which are transferred to wafers Types: photographic emulsion on soda lime glass (cheapest) Fe 2 O 3 on soda lime glass Cr on soda lime glass Cr on quartz glass (most expensive, needed for deep UV litho) Dimensions: 4 x 4 x for 3-inch wafers 5 x 5 x for 4-inch wafers Polarity: light-field = mostly clear, drawn feature = opaque dark-field = mostly opaque, drawn feature = clear

31 Mask to Wafer Alignment degrees of freedom between mask and wafer: (x,y,θ) Use alignment marks on mask and wafer to register patterns prior to exposure. Modern process lines (steppers) use automatic pattern recognition and alignment systems. Usually takes 1-5 seconds to align and expose on a modern stepper. Human operators usually take seconds with well-designed alignment marks. alignment mark on wafer, created from prior processing step alignment mark on mask, open window in chrome through which mark on wafer can be seen

32 Mask to Wafer Alignment - 2 Normally requires at least two alignment mark sets on opposite sides of wafer or stepped region. Use a split-field microscope to make alignment easier: L R L R

33 Visual alignment: Mask to Wafer Alignment - 3 Process of getting wafer coarsely centered under mask All that is needed for the first mask of the set, since no patterns on the wafer exist yet Accomplished by special windows on a dark field mask

34 Oriel Alignment Fixture mask retainer wafer or substrate mask foam rubber mask gasket mask holder vacuum chuck height adjustment ring 1 wafer stage 2 3 main chamber gasket (x,y,θ) adjustment micrometers

35 Postbake (Hard Bake) - 1 Used to stabilize and harden the developed photoresist prior to processing steps that the resist will mask. Main parameter is the plastic flow or glass transition temperature. Postbake removes any remaining traces of the coating solvent or developer. This eliminates the solvent burst effects in vacuum processing. Postbake introduces some stress into the photoresist. Some shrinkage of the photoresist may occur. Longer or hotter postbake makes resist removal much more difficult.

36 Postbake (Hard Bake) - 2 Firm postbake is needed for acid etching, e.g. BOE. Postbake is not needed for processes in which a soft resist is desired, e.g. metal liftoff patterning. Photoresist will undergo plastic flow with sufficient time and/or temperature: Resist reflow can be used for tailoring sidewall angles. 100 C 110 C 120 C 130 C 140 C

37 Photoresist Removal (Stripping) Want to remove the photoresist and any of its residues. Simple solvents are generally sufficient for non-postbaked photoresists: Positive photoresists: acetone trichloroethylene (TCE) phenol-based strippers (Indus-Ri-Chem J-100) Negative photoresists: methyl ethyl ketone (MEK), CH 3 COC 2 H 5 methyl isobutyl ketone (MIBK), CH 3 COC 4 H 9 Plasma etching with O 2 (ashing) is also effective for removing organic polymer debris. Also: Shipley 1165 stripper (contains n-methyl-2-pyrrolidone), which is effective on hard, postbaked resist.

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Composition and Photochemical Mechanisms of Photoresists

Composition and Photochemical Mechanisms of Photoresists OpenStax-CNX module: m25525 1 Composition and Photochemical Mechanisms of Photoresists Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

EE 434 Lecture 7. Process Technology

EE 434 Lecture 7. Process Technology EE 434 Lecture 7 Process Technology Quiz 4 How many wafers can be obtained from a 2m pull? Neglect the material wasted in the kerf used to separate the wafers. 2m And the number is. 1 8 3 5 6 4 9 7 2 1

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

An alcohol is a compound obtained by substituting a hydoxyl group ( OH) for an H atom on a carbon atom of a hydrocarbon group.

An alcohol is a compound obtained by substituting a hydoxyl group ( OH) for an H atom on a carbon atom of a hydrocarbon group. Derivatives of Hydrocarbons A functional group is a reactive portion of a molecule that undergoes predictable reactions. All other organic compounds can be considered as derivatives of hydrocarbons (i.e.,

More information

Technology offer: Environmentally friendly holographic recording material

Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material SUMMARY Our research group has developed a new photopolymer

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators adical Initiation Production of radicals (from initiator) to initiate chain polymerization. A variety of initiator systems can be used to bring about the radical polymerization. 1) Thermal Decomposition

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions AENSI Journals Australian Journal of asic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Polymer Reaction Engineering

Polymer Reaction Engineering Polymer Reaction Engineering Polymerization Techniques Bulk Solution Suspension Emulsion Interfacial Polymerization Solid-State Gas-Phase Plasma Polymerization in Supercritical Fluids Bulk Polymerization

More information

Chapter 23 Aldehydes and Ketones

Chapter 23 Aldehydes and Ketones Chapter 23 Aldehydes and Ketones Ketones are common solvents for quickdrying paints. Introduction to General, Organic, and Biochemistry, 10e John Wiley & Sons, Inc Morris Hein, Scott Pattison, and Susan

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW

CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW 1 Viscosity Flexibility Thermal-activation temperature Solubility

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XI, SPIE Vol. 2195, pp. 584-595. It

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Aromatic Hydrocarbons

Aromatic Hydrocarbons Aromatic Hydrocarbons Aromatic hydrocarbons contain six-membered rings of carbon atoms with alternating single and double carbon-carbon bonds. The ring is sometimes shown with a circle in the center instead

More information

Photosensitive polyimides without side chain: negative-tone reaction development patterning

Photosensitive polyimides without side chain: negative-tone reaction development patterning Photosensitive polyimides without side chain: negative-tone reaction development patterning Toshiyuki yama Department of Advanced Materials Chemistry, Faculty of Engineering, Yokohama ational University

More information

DEVELOPMENT AND EVALUATION OF THE SAPRC-99 CHEMICAL MECHANISM

DEVELOPMENT AND EVALUATION OF THE SAPRC-99 CHEMICAL MECHANISM DEVELOPMENT AND EVALUATION OF THE SAPRC-99 CHEMICAL MECHANISM W. P. L. Carter Air Pollution Research Center University of California, Riverside, CA 92521 ALL MECHANISMS DESIGN OBJECTIVES CAN BE USED IN

More information

The Solubility is the Solution

The Solubility is the Solution The Solubility is the Solution The Company BellandTechnology AG is a polymer technology company, originally founded in Switzerland 1983. BellandTechnology developed a proprietary selectively soluble polymer

More information

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature Supplementary Material (ESI) for Lab on a Chip This journal is The Royal Society of Chemistry 2005 Supporting Information Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

More information

Chapter 15 Molecular Luminescence Spectrometry

Chapter 15 Molecular Luminescence Spectrometry Chapter 15 Molecular Luminescence Spectrometry Two types of Luminescence methods are: 1) Photoluminescence, Light is directed onto a sample, where it is absorbed and imparts excess energy into the material

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

SRI DEVI LIQUIDS. (A Unit of Sri Devi Group) Product: CNSL

SRI DEVI LIQUIDS. (A Unit of Sri Devi Group) Product: CNSL TECHNICAL DATE SHEET (TDS) Introduction Cashew nut shell liquid (CNSL) is one of the sources of naturally occurring phenols. It is obtained from the shell of a cashew nut. About 30-35% CNSL is present

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

(c) Dr. Payal B. Joshi

(c) Dr. Payal B. Joshi Polymer (Greek: poly=many; mer=part) Made up of large molecules characterized by repeating units called monomers held together by covalent bonds Functionality To act as monomer, it must have at least two

More information

Techniques for the Analysis of Organic Chemicals by Inductively Coupled Plasma Mass Spectrometry (ICP-MS)

Techniques for the Analysis of Organic Chemicals by Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Techniques for the Analysis of Organic Chemicals by Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Petrochemical Authors Ed McCurdy & Don Potter Agilent Technologies Ltd. Lakeside Cheadle Royal

More information

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Enhanced Transmission by Periodic Hole. Arrays in Metal Films Enhanced Transmission by Periodic Hole Arrays in Metal Films K. Milliman University of Florida July 30, 2008 Abstract Three different square periodic hole arrays were manufactured on a silver film in order

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited (12) United States Patent USOO7144673B2 (10) Patent No.: US 7,144.673 B2 Chen et al. (45) Date of Patent: Dec. 5, 2006 (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited PROCESS FOR HIGH DOSAGE

More information

CHAPTER IV HOFMANN REARRANGEMENT IN CROSSLINKED POLYMERIC MATRICES

CHAPTER IV HOFMANN REARRANGEMENT IN CROSSLINKED POLYMERIC MATRICES CHAPTER IV HOFMANN REARRANGEMENT IN CROSSLINKED POLYMERIC MATRICES The Hofmann degradation reaction has been used as a synthetic route for the preparation of amines 180-187 Tanaka and Senju reported the

More information

Curing Properties of Cycloaliphatic Epoxy Derivatives

Curing Properties of Cycloaliphatic Epoxy Derivatives Curing Properties of Cycloaliphatic Epoxy Derivatives Hiroshi Sasaki Toagosei Co. Ltd. Nagoya, Japan Introduction UV-cationic-curing, based on the photo-generation of acid and consecutive cationic polymerization,

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

AQA A2 CHEMISTRY TOPIC 4.10 ORGANIC SYNTHESIS AND ANALYSIS TOPIC 4.11 STRUCTURE DETERMINATION BOOKLET OF PAST EXAMINATION QUESTIONS

AQA A2 CHEMISTRY TOPIC 4.10 ORGANIC SYNTHESIS AND ANALYSIS TOPIC 4.11 STRUCTURE DETERMINATION BOOKLET OF PAST EXAMINATION QUESTIONS AQA A2 CHEMISTRY TOPIC 4.10 ORGANIC SYNTHESIS AND ANALYSIS TOPIC 4.11 STRUCTURE DETERMINATION BOOKLET OF PAST EXAMINATION QUESTIONS 1 1. Consider the following reaction sequence. CH 3 CH 3 CH 3 Step 1

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

2015 AP Biology Unit 2 PRETEST- Introduction to the Cell and Biochemistry

2015 AP Biology Unit 2 PRETEST- Introduction to the Cell and Biochemistry Name: Class: _ Date: _ 2015 AP Biology Unit 2 PRETEST- Introduction to the Cell and Biochemistry Multiple Choice Identify the choice that best completes the statement or answers the question. 1) In what

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Chapter 25: The Chemistry of Life: Organic and Biological Chemistry

Chapter 25: The Chemistry of Life: Organic and Biological Chemistry Chemistry: The Central Science Chapter 25: The Chemistry of Life: Organic and Biological Chemistry The study of carbon compounds constitutes a separate branch of chemistry known as organic chemistry The

More information

Superior Weathering Solution for PO-Based Automotive Parts Using Advanced Hindered Amine Light Stabilizers

Superior Weathering Solution for PO-Based Automotive Parts Using Advanced Hindered Amine Light Stabilizers Superior Weathering Solution for P-Based Automotive Parts Using Advanced Hindered Amine Light Stabilizers Takahiro Horikoshi, Takashi Ayabe, Shinichi Ishikawa, Naoshi Kawamoto Polymer Additives R&D Laboratory,

More information

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems J. Baghdachi, Ph.D. Coatings Research Institute Eastern Michigan University (734) 487-3192 Freshpaint@aol.com jamil.baghdachi@emich.edu

More information

Experiment 1: The Borohydride Reduction of 9-Fluorenone to 9-Fluorenol

Experiment 1: The Borohydride Reduction of 9-Fluorenone to 9-Fluorenol Experiment 1: The Borohydride Reduction of 9-Fluorenone to 9-Fluorenol Background: In this week s experiment, a metal hydride will be used as a reducing agent. Metal hydrides can be quite reactive, and

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Experiment 1: Thin Layer Chromatography

Experiment 1: Thin Layer Chromatography Experiment 1: Thin Layer Chromatography Part A: understanding R f values Part B: R f values & solvent polarity Part C: R f values & compound functionality Part D: identification of commercial food dye

More information

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments Supplementary Information Effects of asymmetric nanostructures on the extinction difference properties of actin biomolecules and filaments 1 E. H. Khoo, 2 Eunice S. P. Leong, 1 W. K. Phua, 2 S. J. Wu,

More information

Acetals, more than solvents. Expanding Chemistry 8-9 November 2017

Acetals, more than solvents. Expanding Chemistry 8-9 November 2017 Acetals, more than solvents Expanding Chemistry 8-9 November 2017 Acetals RANGE 2 Acetals Name Methylal Formula CH3-O-CH2-O-CH3 Ethylal CH3-CH2-O-CH2-O-CH2-CH3 Propylal CH3-CH2-CH2-O-CH2-O-CH2-CH2-CH3

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER Srinivasulu Korrapati B.E., Anna University, India, 2005 PROJECT Submitted

More information

Infrared Spectroscopy

Infrared Spectroscopy Infrared Spectroscopy IR Spectroscopy Used to identify organic compounds IR spectroscopy provides a 100% identification if the spectrum is matched. If not, IR at least provides information about the types

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition Fabrication-II Electron Beam Lithography Pattern Design Thin Film Deposition By Charulata Barge, Graduate student, Prof. Zumbühl Group, Department of Physics, Universtity of Basel. Date:- 20th Oct. 2006

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

2101 Atomic Spectroscopy

2101 Atomic Spectroscopy 2101 Atomic Spectroscopy Atomic identification Atomic spectroscopy refers to the absorption and emission of ultraviolet to visible light by atoms and monoatomic ions. It is best used to analyze metals.

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

Avatrel Dielectric Polymers for Electronic Packaging

Avatrel Dielectric Polymers for Electronic Packaging Avatrel Dielectric Polymers for Electronic Packaging R. A., Shick, S. K. Jayaraman, B. L. Goodall, L. F. Rhodes, W.C. McDougall Advanced Technology Group BF Goodrich Company 9921 Brecksville Road Cleveland,

More information

Supplementary Figures

Supplementary Figures Supplementary Figures I n t e g r a l 2. 0 1 3 9 2. 0 4 1 5 0. 0 4 4 2 1. 0 0 0 0 1. 0 0 3 2 4. 1 0 0 6 2. 9 8 6 5 1 0. 1 9 4 8. 5 8. 0 7. 5 7. 0 6. 5 6. 0 5. 5 5. 0 4. 5 4. 0 ( p p m ) 3. 5 3. 0 2. 5

More information

CONCENTRATION UNITS 0.800? concentration? What is the molar concentration of mercury? solution contain? 0.150? CHANGING CONCENTRATION UNITS

CONCENTRATION UNITS 0.800? concentration? What is the molar concentration of mercury? solution contain? 0.150? CHANGING CONCENTRATION UNITS CONCENTRATION UNITS 1. How many grams of CuSO 4 are required to make 650. ml of a 0.115 M solution? 2. How many grams of NaCl are required to prepare 250. ml of a 0.241 M solution? 3. How many grams of

More information

Figure 1. Pore size distribution

Figure 1. Pore size distribution Product Information '2:(;Ã237,325(Ã/ÃDQGÃ9 Polymeric Adsorbents Dow has developed a new polymeric adsorbent type for the concentration of organics from air and water. Key features of these adsorbents are:

More information

A Technical Whitepaper Polymer Technology in the Coating Industry. By Donald J. Keehan Advanced Polymer Coatings Avon, Ohio, USA

A Technical Whitepaper Polymer Technology in the Coating Industry. By Donald J. Keehan Advanced Polymer Coatings Avon, Ohio, USA A Technical Whitepaper Polymer Technology in the Coating Industry By Donald J. Keehan Advanced Polymer Coatings Avon, Ohio, USA INTRODUCTION Polymer Technology in the Coating Industry To properly understand

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

PHOTO-REACTION OF IONIC BONDING PHOTOSENSITIVE POLYIMIDE

PHOTO-REACTION OF IONIC BONDING PHOTOSENSITIVE POLYIMIDE Journal of Photopolymer Science and Technology Volume 5, Number 2 (1992) 343-350 PHOTO-REACTION OF IONIC BONDING PHOTOSENSITIVE POLYIMIDE MASAO TOMIKAWA, MASAYA ASANO, GENTARO OHBAYASHI, HIR00 HIRAMOTO

More information

CHEMISTRY Organic Chemistry Laboratory II Spring 2019 Lab #3: Friedel-Crafts Acylation

CHEMISTRY Organic Chemistry Laboratory II Spring 2019 Lab #3: Friedel-Crafts Acylation CHEMISTRY 244 - Organic Chemistry Laboratory II Spring 2019 Lab #3: Friedel-Crafts Acylation Purpose: In this lab you will predict and experimentally test the directing effects of substituent groups in

More information