interconnect do not appear to be scaling at the same rate. Figure 1 shows the general trend in the ratio between within-die and total variation for so

Size: px
Start display at page:

Download "interconnect do not appear to be scaling at the same rate. Figure 1 shows the general trend in the ratio between within-die and total variation for so"

Transcription

1 Chapter 6: Models of Process Variations in Device and Interconnect Duane S. Boning Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology, Cambridge, MA Sani Nassif IBM Austin Research Laboratory, Austin, TX October 10, Introduction: Sources of Variation Variation is the deviation from intended or designed values for a structure or circuit parameter of concern. The electrical performance of microprocessors or other integrated circuits are impacted by two sources of variation. Environmental factors are those which arise during the operation of a circuit, and include variations in power supply, switching activity, and temperature of the chip or across the chip. Physical factors during manufacture result in structural device and interconnect variations which areessentially permanent. These variations arise due to processing and masking limitations, and result in random or spatially varying deviations from designed parameter values. in this chapter we will focus on parametric variation due to continuously varying structural or electrical parameters, as these can signicantly impact not only yield but also performance in high speed microprocessor and other digital circuits. Such parametric variation is becoming a larger concern, as variation and margins for device and 1

2 interconnect do not appear to be scaling at the same rate. Figure 1 shows the general trend in the ratio between within-die and total variation for some key technology device and wire parameters. The data is extracted from the 1997 National Technology Roadmap for Semiconductors report, augmented with data from IBM processes. Over the time period of interest, we see that the withindie proportion of L eff variation increases from 40% to 65%. The wire geometry parameters, width W, height H, thickness T and resistivity also undergo a fairly major increase. Other parameters such as the oxide thickness T ox and threshold voltage V th increase at a lower rate. Models and methods for dealing with such variation trends will become an increasingly important part of high performance circuit design. Section 2 presents an overview of parametric variation statistical descriptions ranging from simple lumped distributions, to separation of interdie and intradie variation, and nally to detailed models of spatial variation. Typical process variations of concern in device and interconnect are discussed in Section 3. Section 4 overviews the circuit analysis approaches that are used to evaluate and guard against variation, including random, spatial, and worst case analyses. Examples of the impact of process variation on circuit performance are presented in Section 5, illustrating statistical analysis and impact assessment methods in the context of typical circuit concerns including clock distribution and global path evaluation. 2 Overview: Statistical Descriptions In order to design integrated circuits, some statistical description for parametric variation is used to (a) estimate the characteristics or statistical distribution for a parameter of interest, and (b) understand or minimize the impact on circuit performance of those variations. In this section, we overview the successive levels of detail used, ranging from simplied or \lumped" statistical descriptions to more specic decompositions of the sources of variation. 2

3 2.1 Lumped Statistics The most basic approach is to characterize the distribution of some parameter of interest P over some sample of devices or structures, and to estimate some small number of statistical moments to characterize that distribution. For example, one might measure the channel length L over some large number of randomly selected nominally 0.25 m devices across a wafer (or across many lots, wafers, and chips), nd that these are approximately normally distributed, and estimate the mean and variance (or standard deviation) based on those measurements. In this approach, the details of the physical sources of this variation are not considered rather, the combined set of underlying deterministic (but not understood) as well as random contributions are simply lumped into a combined \random" statistical description. The simplest approach (although not very accurate when there are correlations between parameters) for statistical circuit design in the face of random variation is to treat each variation as independent, and build in additional margin (e.g. 3-4 or more, depending on the number of devices that must stay within the required margin). Methods that provide better worst case estimates that account for cross-correlation between parameters are presented in Section Separation of Interdie and Intradie Variation For the purposes of circuit design, it is often important to separate sources of parametric variation into two categories [7], [15]: interdie and intradie variation. These two sources of variation are illustrated in Fig. 2 for the case of interlevel dielectric thickness (T ILD ) variation after oxide deposition and chemical mechanical planarization (CMP). As can be seen in Fig. 2, in some cases the variation within the die (e.g. due to layout pattern dependencies) may be substantially larger than variation across the wafer thus, the matching of devices within the die may bevery dierent than the matching at the same location from one chip to the next. 3

4 We canthus decompose the simple lumped variation described in the previous section into two or more separate contributions. One may be able to model some of these more accurately, enabling one to ignore or block against that particular variation component. For example, interdie variation may have relatively minor impact, while intradie variation may be a large concern for circuit timing. 2.3 Interdie Variation Interdie variation is the dierence in the value of some parameter across nominally identical die (whether those die are fabricated on the same wafer, on dierent wafers or in dierent lots), and is typically accounted for in circuit design as a shift in the mean of some parameter value (e.g. V th or wire width) equally across all devices or structures on any one chip. For purposes of circuit design it is usually assumed that each contribution or component in the interdie variation is due to dierent physical and independent sources, and it is usually sucient to lump these contributions together into a single eective die to die variation component with a single mean and variance. While a simplied distribution is often assumed to capture the variance die to die, it is often possible and helpful to characterize and model systematic trends across the wafer. For example, chip speed may depend on some parameter that varies in a systematic \bowl" fashion across the wafer accurate speed binning or yield analysis and improvementmay depend on understanding such patterns from one die to the next. In typical circuit design, die to die variations are the simplest to analyze a small number of situations may be analyzed in which all device or interconnect parameters on the chip are mean shifted together up or down by some amount (e.g. 2-3). 2.4 Intradie Variation Intradie variation is the deviation occurring spatially within any one die. Such intradie variation may have avariety of sources depending on the physics of the manufacturing steps which determine 4

5 the parameter of interest. In contrast to interdie variation (aecting all structures on any one chip equally), intradie variation (or variance across the chip) contributes to the loss of matched behavior between structures on the same chip. In the case of interdie variation, the concern is how variation that \rises or falls" in unison across a chip may impact performance or parametric yield. In the case of intradie variation, we are concerned with an entire set of elements (such elements may be individual MOS transistors, signal lines or segments of signal lines, or any other geometric or electrical parameter of the circuit) and how such devices vary dierently from designed or nominal values, or how such devices thus dier unintentionally from each other. Such intradie or \across chip variation" can arise from a number of manufacturing sources. Two sources are of particular importance: wafer level trends, and die pattern dependencies. Wafer scale variation can result in small trends that are reected across the spatial range of the chip. For example, many deposition processes suer from a gentle \bowl" or concentric ring pattern in thickness from the center of the wafer outward. While such trends may begentle (e.g. 5-10% across the wafer), they can still result in systematic trends across the die (e.g. a\slanted plane") which may be important. Methods for dealing with such trends are discussed in Section 4. Die-pattern (or layout) dependencies, on the other hand, can create additional variations that have become increasingly problematic in IC fabrication. The layout pattern is found to interact badly with some fabrication processes creating additional unwanted dependencies. For example, two interconnect lines that are designed identically in dierent regions of the die may result in lines of dierent width, due to photolithographic interactions, plasma etch micro-loading, or other causes. Distortions in lens and other elements of a lithographic system are also known to create systematic variations across the die. The length scales of such perturbations can vary: line distortions in exposure may occur on the scale of a micron or less lm thickness variations arising in chemical mechanical polishing may occur on the scale of millimeters. 5

6 While such variation may be systematic in any given die, the set of these variations across many diemayhave a random distribution. For example, a \slanted plane" pattern my be oriented randomly from one die to the next. For this and other reasons (e.g. lack of layout information), systematic variations are often bounded by or treated as some large estimated random variation. 3 A Survey of Process Variations Given the perspective of dierent categories of variation, we can now briey survey some of the specic process variations typically of concern in evaluating devices and interconnect in integrated circuit design [2]. 3.1 Device Geometry Variations The rst set of process variations of concern relate to the physical geometric structure of MOSFET and other devices (resistors, capacitors) in the circuit. These typically include lm thickness variations: The gate oxide thickness is a critical but usually relatively well controlled parameter. Variation tends to occur primarily from one wafer to another with good across wafer and across die control. Other intermediate process thickness variations (e.g., poly or spacer thickness) can impact channel length, but are rarely directly modeled. lateral dimension variations: Lateral dimensions (length, width) typically arise due to photolithography proximity eects (a systematic pattern dependency) mask, lens, or photo system deviations (a repeated die dependent variation, though not directly a function of the layout density or other layout parameters) or plasma etch dependencies (which can have both wafer scale etch rate dependencies, as well as layout density, aspect ratio, or other dependencies). 6

7 MOSFETS are well known to be particularly sensitive to eective channel length (and thus to poly gate length), as well as gate oxide thickness and to some degree the channel width. Of these, channel length variation often is singled out for particular attention, due to the direct impact such variation can have on device output current characteristics. 3.2 Device Material Parameter Variations Another class of process variations in MOSFETS relate to internal material parameters. doping variations: Deviations arising due to implant dose, energy, or angle variation can aect junction depth and dopant proles (and thus may also impact eective channel length), as well as other electrical parameters such as threshold voltage. In deep submicron devices, drain engineering (e.g. halos to reduce short channel eects) further increase the possible impact of implant and diusion variation. Variation in thermal anneal and gate doping can also change the degree of gate depletion in an active device, and cause variation in the eective gate oxide thickness. Depending on the gate technology used, these deviations can lead to some loss in the matching of NMOS versus PMOS devices even in the case where within wafer and within die variation is very small. deposition and anneal: Additional material parameter deviations are observed in silicide formation, and in the grain structure of poly or metal lines. These variations may depend on the deposition and anneal processes, and thus suer from substantial wafer to wafer and within wafer deviations, and may also have large random device to device components (due to crystallographic grain or phase eects). These material parameter deviations can contribute to appreciable contact and line resistance variation. 7

8 3.3 Device Electrical Parameter Variations While device geometry and electrical parameter variations are often important to understandbased on their \root causes," one often focuses on the actual electrical parameter variations. In many cases, the underlying geometry distribution is not characterized, but instead the key electrical parameters are directly extracted and modeled. V T variation: A key concern is threshold voltage (V T ) variation. In addition to geometric sources, mobile charge in the gate oxide can introduce a bias dependent variation this is sometimes approximated as being about 10% of the V T of the smallest device in a given technology [2]. discrete dopant variation: Another source of V T variation that is just beginning to become important in SRAM and other circuits is related to random dopant uctuations. Concern has been raised about the random placement and concentration uctuations due to discrete location of dopant atoms in the channel and source/drain regions. One approach to examining these eects is to use Monte Carlo simulation over an ensemble of simulated doping events. For small device size (0.1 m), it becomes feasible to track the locations of each silicon and dopant atom in a small volume, and to consider the distribution of device behavior that results. Frank et al. [5] quantied the magnitude of threshold voltage variations in a 25 nm MOSFET, and found that devices with well designed doping proles have an intrinsic V T voltage uncertainty of about 10= p W mvm 1 2 with W being the width of the device. The implication is that such random discrete dopant uctuations will likely be tolerable for logic, but may prove a problem for narrow and dense devices such as SRAM blocks containing large numbers of devices that must be well matched. 8

9 leakage currents: Other electrical parameter variations can also be of concern in circuit design. Subthreshold leakage currents may vary substantially, and can be impacted by shallow trench isolation structure and stress imperfections due to oxidation and CMP. 3.4 Interconnect Geometry Variations Just as in devices, vertical and lateral dimension as well as material property deviations can be important sources of variation in interconnect structures. Key geometry concerns include: line width and line space: Deviations in the width of patterned lines again arise primarily due to photolithography and etch dependencies. At the smallest dimensions (lower metal levels), proximity and lithographic eects may be important, while at other levels etch eects (so-called RIE lag or aspect ratio dependent etching) which depend on line width and local layout can be signicant. Deviations in line width can directly impact line resistance, as well as the capacitance from one layer to layers above or below. Deviations in line width can also result in line space dierences aecting the magnitude of line-to-line coupling within the layer (and can impact not only capacitance but also cross talk and signal integrity). metal thickness: In conventional metal interconnect, the thickness of sputtered or otherwise deposited metal lms and liners or barriers is usually well controlled, but can vary from wafer to wafer and across wafer. In damascene (e.g. copper polishing) processes, on the other hand, dishing and erosion can signicantly impact the nal thickness of patterned lines [11], with line thickness losses of 10 to 20% depending on the particular patterns. dielectric thickness: The thickness of deposited and polished oxide lms can also suer substantial deviations. While wafer level deposition thickness can vary (typically on the order of 5%), more troublesome are pattern-dependent aspects of such deposition. For example, the 9

10 deposition prole using high density plasmas (HDP) can depend strongly on the width or size of a feature being deposited over. Furthermore (as illustrated in Fig. 2) the CMP process can introduce strong variations across the die, resulting from the eective density of raised topography in dierent regions across the chip [16]. contact and via size: Contact and via size can be aected by etch process variation, as well as systematic layer thickness dependencies. Depending on the via or contact location, for example, the etch depth can be substantially dierent, resulting in dierent degrees of lateral opening. Such size dierences can directly aect the resulting contact or via resistance. 3.5 Interconnect Material Parameter Variations Finally, some degree of material property variation may also be important in interconnect structures. contact and via resistance: Contact and via resistance related to good ohmic contact can be sensitive to etch and clean processes, with substantial wafer to wafer and random components. metal resistivity and dielectric constant: While metal resistivity variation can occur (and include a small random element), resistivity usually varies appreciably on a wafer to wafer basis and is usually well controlled. Similarly, dielectric constant may vary depending on the deposition process, but is usually well controlled. It is possible that pattern dependent and directional eects may become important for some low K dielectrics being considered for future interconnect technologies. 10

11 4 Methods to Characterize and Address Variation In this section, we briey examine the methodologies used to understand and address process variations such asthose presented in the previous section. Many of these approaches are built on statistical modeling and mathematical optimization methods however, in this section we seek only to overview these approaches and other references should be consulted for mathematical details. Key elements of statistical circuit analysis overviewed here are (a) extraction of statistical device models (b) sensitivity analysis to estimate the eect of variation sources (c) worst-case methods to more carefully limit and study variation concerns in circuit designs, and (d) spatial modeling and mismatch analyses. 4.1 Statistical Device Models From the perspective of circuit design, the parameters P which characterize a process are often the model parameters required to perform circuit simulation. Internal to a circuit simulator, the model parameters are used to express the dependence between quantities such as current, charge and voltage. A simple example of a device model is the Spice Level-1 MOSFET model: W I ds = C ox L ; L " (V gs ; V th )V ds ; V 2 ds 2 I ds =0 for V gs ; V th < 0 # for 0 <V ds <V gs ; V th (1) W I ds = C ox L ; L (V gs ; V th ) 2 for 0 <Vgs; Vth<Vds for which P = fw L V th L C ox g. Many of these quantities are not directly measurable and must therefore be inferred from measurements of I ds vs. V gs and V ds. This inference process, called model parameter extraction is usually performed using a non-linear least squares analysis (e.g. see [1] ch. 6). Due to: (1) the fact that the model is only an approximation to reality and (2) that the minimization is performed with nite tolerances, the parameter estimate derived is subject to 11

12 some error. In addition to a nominal model t, we needtocharacterize variations in P. This may be done by measuring a number of devices, performing parameter extraction on each set of measurements to get a population of parameters P, and using the population to estimate the statistics of P 1. Based on these statistics, large numbers of hypothetical cases can be simulated to study the resulting variation in performance. Numerous diculties in this approach exist, including computational costs, data collection requirements, and potentially large errors in performance estimates due to propagation of systematic device model tting errors. The diculty and high cost of getting reliable and accurate statistics for the model parameters results in a situation where (1) the parameter statistics are not updated often to reect changes and maturation in the fabrication process and (2) there is often a large incentive to use analysis and design methods which are less sensitive to the detailed statistics of P, hence the extensive use of worst-case analysis techniques which we will discuss below. Nevertheless, alargenumber of other analysis techniques have been tried with various levels of success. More information on the various alternative statistical analysis techniques can be found in [20], [14] and [4]. 4.2 Sensitivity Analysis In some cases, it is easier to characterize one set of parameters (perhaps related to geometric variation) than the resulting electrical or simulation model parameters. In such cases, one is concerned with the transmitted variability or propagation of variance from one parameter P through to another parameter Q, byway of a known analytic or numeric function f, where Q = f(p ). In the case where f can only be evaluated numerically (e.g. in understanding the impact of some process variation such as an anneal temperature on resulting geometric structure), monte carlo or 1 There are a number of other approaches to solving this problem, see for example [8, 3]. 12

13 other sampling methods are often utilized [13]. In many cases, however, a simple sensitivity analysis approach is used through a rst order expansion of some analytical function f relating P and Q: Q +Q = f(p +P ) P where P and Q are typically considered to be the standard deviations of parameters P and Q. While many functions do not preserve normality, it is often assumed that the small deviations of Q can also be approximated by a normal distribution, so that the variance propagation is approximated as Q 2 (@f=@p)2 P 2. Given approximate variance values for some set of process variations, the resulting rst order electrical impact of the variations on device or canonical circuits is often derived and compared for dierent circuit, layout, or other design rule options (as, for example, in Section 5.2). 4.3 Worst Case Analysis The various components of P are usually correlated. For example, Fig. 3 shows a representative distribution of four MOS transistor model parameters from a modern 0.25m process. The correlation structure of P is thus required for accurate statistical analysis. Ignoring the correlation, i.e. assuming it is zero, leads to statistical performance estimates which are in reality extremely improbable and are overly pessimistic. Principal component analysis [12] is often used to transform highly correlated process parameters to a smaller set of uncorrelated parameters to simplify statistical design analysis. The most common method for analyzing the implications of random and correlated variations is worst-case analysis [4]. Consider a circuit performance z (e.g. clock speed) which isa function of model parameters P, expressed as z = f(p ). Due to variations in P, the performance z is a random variable. The goal of worst case analysis, like all other forms of statistical design analysis 13

14 [14], is to determine a measure of goodness or quality of the design. The ideal such measure is the yield of the design which is dened as the proportion of circuits which meet the specications. Since computing the function f typically involves performing a computationally expensive circuit simulation, computing the yield directly is very expensive. Worst-case analysis is the standard method for indirect measurement of the yield by eectively nding the \worst case" bounds for the yield. Various methods also exist whose goal is to work backward to nd the worst case process parameters P wc (or process \corners" for P ) that assure that the required yield is achieved. The eort necessary for nding P wc is non-trivial, and in fact is greater than that required to compute the yield since it requires the complete statistics of the output parameter z. Fortunately, however, the same performance of two structurally similar circuits often exhibits similar sensitivity to the parameters P and therefore results in nearly identical worst-case parameters [4]. As a practical example, a library of ASIC cells can use one unique setting for worst case parameters for each type of performance (e.g. delay, power dissipation or noise immunity). This practice is so prevalent, in fact, that it is standard practice for manufacturing organizations to specify several sets of model parameters which aretheworst-cases or corners for typical digital circuit performances. 4.4 Spatial Variation Modeling and Mismatch In analog circuit design, substantial work has been done to understand and model issues in device matching [7]. Increasingly, device matching is also of concern in high performance digital subcircuits. Here we overview some of these variation issues and the approaches used to analyze or guard against them. Suppose we are concerned with the dierence P in some parameter between two devices. Ideally, we want perfect matching, or P = 0 but since we can't alway achieve this, the spread or variance in P gives us a sense of possible mismatch. The most simple case is when the 14

15 two devices are truly independent then the variance in the mismatch is twice the variance of an individual device. A more interesting case occurs when the variation in any given device depends upon the device area (or length). In particular, when the underlying source of variation can be \averaged" out for larger devices, the device mismatch depends on both the underlying statistics and the size of the respective devices. In such cases, it is possible to achieve better matching (that is, reduce the variance in P ) at the cost of increasing the size of the structures. A third important situation is when the mismatch depends on the separation distance between two devices. For example, the eect of a wafer-level trend as seen by two devices on the same die will often be dependent on the distance between those devices a useful approximation is to consider the variance of the mismatch to be proportional to the square of the distance between the devices [7]. An obvious strategy is to keep devices that require good matching as close together on the die as possible. A nal situation is when some deterministic (e.g. layout dependent) spatial process variation exists. Early in the design process such systematic variations are functions of as-yet unavailable layout information and therefore must be treated as random. Consider a parameter P whose intradie variations are systematic and whose total variations can then be expressed in Eq. 3: P = P 0 + F(x y )+ ~ P (3) where P 0 is the mean for the given die, the function F describes the intradie spatial distribution of P, denotes a vector of random parameters of the spatial function, and P ~ is remaining unexplained random variation. Before spatial (x y) information is available, the intradie component of P can only be modeled as a random distribution having variance consistent with the maximum deviation possible due to F. One approach is to simply use a broad random variance in a simplied approximation for the intradie variation. An improvement is to treat x and y as randomly distributed across the chip, and then evaluate the function F across this distribution. In general, this 15

16 will result in a larger total variance for P than using Eq. 3 but smaller (more accurate) variance than using a large lumped \random" approximation. This approach is illustrated for a clock tree example in Section 5.1. Matching of devices in the face of such layout variation can often be improved by making the layout around the devices as matched as possible. For example, one often adds dummy lines to match proximity eects, and dummy ll patterns are widely used to make thelayout pattern density as uniform across the die as possible [17]. 5 Application of Methods to Interconnect Impact Analysis In the previous sections, we have introduced the notion of statistical description of parameter variation, described process variation sources, and discussed methods for characterization and analysis of random and spatial variation. In this section, we discuss applications of these dierent methods for the analysis of the impact of variationoninterconnect performance. Clock distribution is a common and important problem in large synchronous digital circuits. A measure of the quality of the clock distribution network is the skew or dierence in clock arrival times at any two destinations. A common technique to minimize skew is to use so-called H-Trees where the wire length between the center of the tree and all destinations is identical. Figure 4 depicts such a tree with a single central buer and 64 loads. 5.1 Example: Random and Wafer Level Variation Impact In this example [9], we assume that all deterministic factors 2 have been nulled out and that we analyze the impact of three sources of within chip variations: (1) random variations in FET channel length, L (2) random variations in wire width, W and (3) wafer-level variations in FET L. 2 An example may be a dierence in loading from one node in the tree to another, which can also result in skew. 16

17 We select the skew between the two leaves illustrated in Fig. 4 as our performance metric z. We seek to nd the maximum (worst-case) skew due to the wafer-level or random portion of within-chip variations subject to constraints on the statistics of L and W. In each case, we represent the sample of valuesofl or W by. Random L Eects: In this case consisted of 65 samples of L, for the central buer and each of the 64 loads. The performance z was found by simulating using the nominal parameters of a 0.25m CMOS process. The statistics for L were taken to be N(0:0 0:035m) to reect the technology's within chip tolerance on L. The resulting spatial distribution of L is illustrated in Fig. 5 (a). Random W Eects: In this case consisted of 63 samples of wire width W, one for each of the wire segments in the H tree. The impact of W on wire resistance and capacitance was taken into account. The statistics of W were taken to be N(0:0 0:25m) reecting the technology's within chip tolerance on W. The resulting spatial distribution of W is illustrated in Fig. 5 (b). Spatial L Eects: In this experiment consisted of the three variables w 0, w x and w y in a spatial function L = w 0 + w x x + w y y. A uniform distribution was assumed for all three variables. The resulting distribution of L is illustrated in Fig. 5 (c). The importance of accounting for constraints on the wafer-level and random statistics is illustrated by the worst-case skew values in the three scenarios above. In a simple worst-case analysis where many samples of are evaluated without consideration of spatial constraints, the worst-case skew is over estimated. In the case of L, the skew using a constrained worst-case analysis (described more fully in [9]) is 139 ps. This compares to the more pessimistic worst case skew based on 3 of ps. Similarly, in the case of W eects, the constrained variation analysis gives a 17

18 worst case skew of 41 ps, compared to a pessimistic worst case analysis of 48.9 ps. In the case of wafer level spatial L trends, the skew is 9.98 ps under both methods (since systematic only and no random components are included in both analyses). 5.2 Example: Interconnect Sensitivity Analysis Zarkesh-Ha et al. considered both interconnect geometry and device variation, as well as \system" or environmental (e.g. temperature) disturbances on chip clock distribution networks [18]. The methodology used is essentially a sensitivity analysis coupled to analytic modeling, as introduced in Section 4.2. Zarkesh-Ha derives a compact model using a simplied equivalent circuit composed of the H clock tree, the driver device, and other sub blocks, so that the total delay T Delay of the clock network can be written as T Delay = T H;Tree + T Driver + T SubBlk (4) where analytic expressions for each component are derived. Given the relation for T Delay and assuming small variations around the nominal, a rst-order sensitivity analysis can be performed to evaluated the the clock skew T CSK due to each variation component x as: T CSK (x) =T x (5) In this fashion, analytic approximations for variations due to each contributing factor x are derived and considered. For example, an important \system" (design) variation is that due to uneven distribution of registers or load capacitance across the chip. If an approximate value for C L is available, the clock skew resulting from this variation can be approximated as T CKS (C L )=0:7R tr C L CL C L (6) 18

19 Several system parameter variations are considered in [18] and [19] including IR drop and temperature gradients, as well as manufacturing variations in key device and interconnect geometry parameters. Device variations include threshold voltage uctuation, transistor channel length tolerance, and gate oxide thickness tolerance. Interconnect geometry parameters considered include ILD thickness variation and wire thickness variation. Using assumed nominal and variation values for process and system design parameters, Zarkesh-Ha estimates sensitivities and derives a feasible design plane for the clock distribution network [19]. 5.3 Example: Statistical Interconnect Impact While Zarkesh-Ha et al. lump variation, such as ILD thickness, into a variation component (e.g. T ILD equal to 3% in [19]), in many cases such parameter variation is largely systematic. In these cases, it can be dangerous to assume that the variation along dierent paths or in dierent locations is independent of each other, and a variance estimate can be misleading. The correlation structure can either be such that one seriously over-estimates the variance, or seriously under-estimates the actual range of values experienced. For example, Orshansky, Spanos, and Hu [10] considered the relative importance of device and interconnect variability and delay, but make a correction due to parameters that aect local (devices, local interconnect) versus global structures (global interconnect). In particular, Orshansky noted that the variance in some parameters (e.g. capacitance at various points in long lines) may be averaged over the length of the line thus decreasing variance (as discussed in Section 4.4). Specically, if the variance 2 L in a parameter P of interconnect with length L i is characterized, then the total variance P 2 for a longer interconnect with length L is reduced to 2 P = 2 L =N where N = L=L i. This assumes that each segment of the interconnect (of length L i ) can be treated as independent with respect to parameter P and is drawn from the same gaussian distribution. In 19

20 some cases, this might be a good assumption but in cases where systematic spatial trends exist, or where layout dependent parameter variation exists, this can also be a risky assumption. Based on their models and assumptions, Orshansky et al. compare the relative signicance of variation sources on critical path delay in a 0.18 m technology. They nd that when hierarchically scaled interconnect is used (e.g. \fat" wires at upper levels), delay variation is about 48% of the delay, and only 12% of that variability isduetointerconnect structure. In a realistic tight pitch structure, they estimate the overall delay variation to be 18%, of which 48% would be due to device variation and 52% due to interconnect variation. 5.4 Example: Deterministic Interconnect Variation Impact In some cases, a dominant source of intradie variation can be modeled suciently to examine in detail the impact of that variation on circuit performance. In this example, global signal paths in a 1GHz microprocessor design are examined based on a model of the interlevel dielectric (ILD) thickness variation created across the chip due to CMP dependencies [6]. The predicted spatial function for ILD thickness, T ILD (x y), is generated based on the circuit layout. In this case, T ILD (x y) = f((x y P L)), where is the eective density of raised oxide topography \seen" by the polishing process. The spatial map of the eective density is calculated as a moving average of underlying layout density given knowledge of the \planarization length" PL [16]. From this map, T ILD is estimated as T ILD = T ILD0 +( ; 0 ) h 0, where T ILD0 is the nominal ILD thickness, 0 is the nominal or average eective pattern density, and h 0 is the asdeposited step height of the oxide over patterned metal features. For a particular ILD layer, the resulting estimated ILD thickness variation for the 1GHz microprocessor design is illustrated in Fig. 6. Given T ILD (x y), a distributed RC network is extracted for each global path to be considered, 20

21 with a modication C made to the extracted capacitance for each segment based on the spatially dependent, rather than nominal, value for T ILD. A timing analysis is then performed, and the dierence in delay between the nominal design and that resulting from systematic spatial ILD thickness variation is computed. Figure 7 shows the delay variation distribution for 2100 global paths under three assumptions: (a) due to ILD thickness variation (b) with ILD thickness variation reduced by the addition of metal ll [17] and (c) under a simple worst-case ILD thickness variation analysis. As seen in the gure, worst case analysis can be substantially pessimistic, and detailed knowledge and analysis of a variation source can oer the opportunity to further improve performance (e.g. clock speed) appreciably. 6 Summary In this chapter, we have examined descriptions, models, and methods for random, correlated, and spatial variation in integrated circuit fabrication. Analysis of process variation and its impact on both performance and yield are increasingly important foradvanced high speed circuits, and further work to develop and apply these methods will be needed. References [1] P. Antognetti and G. Massobrio, Semiconductor Device Modeling with SPICE, McGraw-Hill, [2] K. Bernstein, K. Carrig, C. Durham, P. Hansen, D. Hogenmiller, E. Nowak, and N. Rohrer, \High Speed CMOS Design Styles," Kluwer, Boston, [3] P. Cox, P. Yang, S. Mahant-Shetti, and P. Chatterjee, \Statistical Modeling for Ecient Parametric Yield Estimation of MOS VLSI Circuits," IEEE Trans. Electron Devices, vol. 21

22 ED-32, [4] S. Director and W. Maly, Statistical Approach to VLSI, North-Holland, [5] D. J. Frank, Y. Taur, M. Ieong, and H.-S. P. Wong, \Monte Carlo Modeling of Threshold Variation due to Dopant Fluctuations," VLSI Technology Symposium, pp , June [6] V. Mehrotra, S. Nassif, D. Boning, and J. Chung, \Modeling the eects of manufacturing variation on high-speed microprocessor interconnect performance," IEDM, pp , [7] C. Michael and M. Ismail, \Statistical Modeling for Computer-Aided Design of MOS VLSI Circuits," Kluwer: Boston, [8] S. Nassif, A. Strojwas, and S. Director, \FABRICS-II: A Statistical Simulator of the IC Fabrication Process," IEEE Trans. CAD, vol. 3, [9] S. Nassif, \Within-chip variability analysis," IEDM, pp , [10] M. Orshansky, C. Spanos, and C. Hu, \Circuit Performance Variability Decomposition," Fourth Int. Workshop on Statistical Metrology, pp , June [11] T. Park, T. Tugbawa, D. Boning, J. Chung, S. Hymes, R. Muralidhar, B. Wilks, K. Smekalin, and G. Bersuker, \Electrical Characterization of Copper Chemical Mechanical Polishing," CMP-MIC, pp , Santa Clara, CA, Feb [12] J. Power, A. Mathewson, and W. Lane, \MOSFET Statistical Parameter Extraction using Multivariate Statistics," Proc. ICMTS, pp , [13] S. Sharifzadeh, J.R. Koehler, A.B. Owen, and J.D. Shott, \Using simulators to model transmitted variability in IC manufacturing," IEEE Trans. on Semi. Manuf., vol. 2, no. 3, pp , Aug

23 [14] R. Spence and R. Soin, Tolerance Design of Electronic Circuits, Addison-Wesley, [15] B. Stine, D. Boning, and J. Chung, \Analysis and Decomposition of Spatial Variation in Integrated Circuit Processes and Devices," IEEE Trans. on Semi. Manuf., vol. 10, no. 1, pp , Feb [16] B. Stine, D. Ouma, R. Divecha, D. Boning, J. Chung, D. L. Hetherington, I. Ali, G. Shinn, J. Clark, O.S. Nakagawa, and S.-Y. Oh, \A Closed-Form Analytic Model for ILD Thickness Variation in CMP Processes," CMP-MIC, pp , Santa Clara, CA, Feb [17] B. Stine, D. Boning, J. Chung, L. Camilletti, F. Kruppa, E. Equi, W. Loh, S. Prasad, M. Muthukrishnan, D. Towery, M. Berman, and A. Kapoor, \The Physical and Electrical Eects of Metal Fill Patterning Practices for Oxide Chemical Mechanical Polishing Processes," IEEE Trans. on Electron Devices, vol. 45, no. 3, pp , March [18] P. Zarkesh-Ha, T. Mule, and J. D. Meindl, \Characterization and modeling of clock skew with process variations," CICC, pp , [19] P. Zarkesh-Ha and J. D. Meindl, \Optimum Chip Clock Distribution Networks," IITC, pp , [20] J. Zhang and M. Styblinksi, Yield and Variability Optimization of Integrated Circuits, Kluwer,

24 50 45 percent 40 L eff V dd, T ox, V T? 6 W, T, H, Year Figure 1: Device and interconnect variation trends for dierent technology generations. The percentage of the total variation accounted for by within-die variation for selected parameters is plotted. 24

25 WAFER DIE ILD Thickness (µm) Y (mm) X (mm) 120 ILD Thickness (µm) Die Y (mm) Die X (mm) Figure 2: Variation in ILD thickness across the wafer (left), and across the die (right). 25

26 C gs L L V th V th 0 Figure 3: Distribution of transistor model parameters. 26

27 Skew Figure 4: H-Tree for Clock Distribution. 27

28 (a) (b) (c) Figure 5: Results of intradie worst-case analysis for (a) random channel length variation, (b) random line width variation, and (c) spatial channel length trend analysis.. 28

29 ILD Thickness Variation ILD Thickness Variation (%) Y (um) X (um) Figure 6: Modeled pattern dependent ILD thickness variation prole for the top metal layer in a 1GHz microprocessor design [6]. 29

30 500 ILD Thickness Variation No Fill 350 Count Delta Delay (ps) (a) ILD Thickness Variation (Metal Fill) 14ps Metal Fill Count Delta Delay (ps) (b) Worst Case ILD Thickness Variation Worst-Case Count ps Delta Delay (ps) (c) Figure 7: The delay variation distribution with (a) the ILD thickness variation model, (b) ILD thickness variation after metal ll, and (c) the worst-case ILD thickness variation [6]. 30

are typically of concern in device and interconnect fabrication, presented in Section 3. The third key element, and the core topic of much ofthischapt

are typically of concern in device and interconnect fabrication, presented in Section 3. The third key element, and the core topic of much ofthischapt Models of Process Variations in Device and Interconnect Duane S. Boning and Sani Nassif Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology, Cambridge, MA and

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Variability Aware Statistical Timing Modelling Using SPICE Simulations Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008 2 Contents List

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Statistical Performance Modeling and Optimization

Statistical Performance Modeling and Optimization Foundations and Trends R in Electronic Design Automation Vol. 1, No. 4 (2006) 331 480 c 2007 X. Li, J. Le and L. T. Pileggi DOI: 10.1561/1000000008 Statistical Performance Modeling and Optimization Xin

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Previously Understand how to model transistor behavior Given that we know its parameters V dd, V th, t OX, C OX, W, L, N A Day

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

EE382M-14 CMOS Analog Integrated Circuit Design

EE382M-14 CMOS Analog Integrated Circuit Design EE382M-14 CMOS Analog Integrated Circuit Design Lecture 3, MOS Capacitances, Passive Components, and Layout of Analog Integrated Circuits MOS Capacitances Type of MOS transistor capacitors Depletion capacitance

More information

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #2: MOSFET Structure and Basic Operation Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 1 this week, report due next week Bring

More information

mobility reduction design rule series resistance lateral electrical field transversal electrical field

mobility reduction design rule series resistance lateral electrical field transversal electrical field Compact Modelling of Submicron CMOS D.B.M. Klaassen Philips Research Laboratories, Eindhoven, The Netherlands ABSTRACT The accuracy of present-day compact MOS models and relevant benchmark criteria are

More information

IN THE literature, significant research has been focused on

IN THE literature, significant research has been focused on 552 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 Simulating the Impact of Pattern-Dependent Poly-CD Variation on Circuit Performance Brian E. Stine, Duane S. Boning,

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

Philips Research apple PHILIPS

Philips Research apple PHILIPS c Electronics N.V. 1997 Modelling Compact of Submicron CMOS D.B.M. Klaassen Research Laboratories The Netherlands Eindhoven, contents accuracy and benchmark criteria new applications í RF modelling advanced

More information

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology EECS240 Spring 2013 Lecture 2: CMOS Technology and Passive Devices Lingkai Kong EECS Today s Lecture EE240 CMOS Technology Passive devices Motivation Resistors Capacitors (Inductors) Next time: MOS transistor

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Chapter 4 Field-Effect Transistors

Chapter 4 Field-Effect Transistors Chapter 4 Field-Effect Transistors Microelectronic Circuit Design Richard C. Jaeger Travis N. Blalock 5/5/11 Chap 4-1 Chapter Goals Describe operation of MOSFETs. Define FET characteristics in operation

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

for Submicron CMOS Digital Circuits Antoni Ferre and Joan Figueras Departament d'enginyeria Electronica Universitat Politecnica de Catalunya

for Submicron CMOS Digital Circuits Antoni Ferre and Joan Figueras Departament d'enginyeria Electronica Universitat Politecnica de Catalunya On Estimating eakage Power Consumption for Submicron CMOS Digital Circuits Antoni Ferre and Joan Figueras Departament d'enginyeria Electronica Universitat Politecnica de Catalunya Diagonal 647, 828 Barcelona

More information

Introduction and Background

Introduction and Background Analog CMOS Integrated Circuit Design Introduction and Background Dr. Jawdat Abu-Taha Department of Electrical and Computer Engineering Islamic University of Gaza jtaha@iugaza.edu.ps 1 Marking Assignments

More information

Chapter 5 MOSFET Theory for Submicron Technology

Chapter 5 MOSFET Theory for Submicron Technology Chapter 5 MOSFET Theory for Submicron Technology Short channel effects Other small geometry effects Parasitic components Velocity saturation/overshoot Hot carrier effects ** Majority of these notes are

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

TAU' Low-power CMOS clock drivers. Mircea R. Stan, Wayne P. Burleson.

TAU' Low-power CMOS clock drivers. Mircea R. Stan, Wayne P. Burleson. TAU'95 149 Low-power CMOS clock drivers Mircea R. Stan, Wayne P. Burleson mstan@risky.ecs.umass.edu, burleson@galois.ecs.umass.edu http://www.ecs.umass.edu/ece/vspgroup/index.html Abstract The clock tree

More information

IN DEEP-SUBMICRON integrated circuits, multilevel interconnection

IN DEEP-SUBMICRON integrated circuits, multilevel interconnection IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 615 An Extraction Method to Determine Interconnect Parasitic Parameters Chuan-Jane Chao, Shyh-Chyi Wong, Member, IEEE, Ming-Jer

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors ECE 342 Electronic Circuits Lecture 6 MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

EE 330 Lecture 17. MOSFET Modeling CMOS Process Flow

EE 330 Lecture 17. MOSFET Modeling CMOS Process Flow EE 330 Lecture 17 MOSFET Modeling CMOS Process Flow Review from Last Lecture Limitations of Existing Models V DD V OUT V OUT V DD?? V IN V OUT V IN V IN V DD Switch-Level Models V DD Simple square-law

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

Worst-Case Analysis and Optimization of VLSI. Abstract

Worst-Case Analysis and Optimization of VLSI. Abstract Worst-Case Analysis and Optimization of VLSI Circuit Performances A. Dharchoudhury, Student Member, IEEE and S. M. Kang, Fellow, IEEE 1 Abstract In this paper, we present a new approach for realistic worst-case

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 Transistor Resistance Two

More information

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements A Thesis Submitted for the Degree of Doctor of Philosophy in the Faculty of Engineering

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow EE 330 Lecture 16 MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow Review from Last Time Operation Regions by Applications Id I D 300 250 200 150

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

Scaling Impact on Analog Circuit Performance

Scaling Impact on Analog Circuit Performance ICTP Microprocessor Laboratory Second Central American Regional Course on Advanced VLSI Design Techniques Benemérita Universidad Autónoma de Puebla, Puebla, Mexico 29 November 17 December 2004 Scaling

More information

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density The MIT Faculty has made this article openly available. Please share how this

More information

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Zhangcai Huang, Hong Yu, Atsushi Kurokawa and Yasuaki Inoue Graduate School of Information, Production and Systems, Waseda University,

More information

CMOS Cross Section. EECS240 Spring Dimensions. Today s Lecture. Why Talk About Passives? EE240 Process

CMOS Cross Section. EECS240 Spring Dimensions. Today s Lecture. Why Talk About Passives? EE240 Process EECS240 Spring 202 CMOS Cross Section Metal p - substrate p + diffusion Lecture 2: CMOS Technology and Passive Devices Poly n - well n + diffusion Elad Alon Dept. of EECS EECS240 Lecture 2 4 Today s Lecture

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Statistical Modeling for Circuit Simulation

Statistical Modeling for Circuit Simulation Statistical Modeling for Circuit Simulation Colin C. McAndrew Motorola, Tempe, AZ, USA Colin.McAndrew@motorola.com Abstract Robust, high yield IC design requires statistical simulation, and therefore statistical

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 10 MOSFET part 1 guntzel@inf.ufsc.br ual-well Trench-Isolated

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The July 30, 2002 1 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 08 MOS Inverters - III Hello, and welcome to today

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow EE 330 Lecture 16 MOSFET Modeling CMOS Process Flow Model Extensions 300 Id 250 200 150 100 50 300 0 0 1 2 3 4 5 Vds Existing Model 250 200 Id 150 100 50 Slope is not 0 0 0 1 2 3 4 Actual Device Vds Model

More information

AOI21. Vdd. out1. Vdd GND. C 5 Interconnect Open FW X INV. Vdd C 3 C 6. out2 GND GND. Vsurf

AOI21. Vdd. out1. Vdd GND. C 5 Interconnect Open FW X INV. Vdd C 3 C 6. out2 GND GND. Vsurf Voltage and Current Based Fault Simulation for Interconnect Open Defects Haluk Konuk Abstract This paper describes a highly accurate and ecient fault simulator for interconnect opens in combinational or

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor Future Trends in Microelectronics Impact on Detector Readout Paul O Connor Outline CMOS Technology Scaling Analog Circuits Radiation Effects Cost Detector Development Symposium Paul O'Connor BNL April

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay s CSE477 slides

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information