Variability Aware Statistical Timing Modelling Using SPICE Simulations

Size: px
Start display at page:

Download "Variability Aware Statistical Timing Modelling Using SPICE Simulations"

Transcription

1 Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008

2 2

3 Contents List of Figures vi List of Tables ix Acknowledgements xi Abstract xiii Introduction 1 1 Background Sources of Variations Specific Variations on Timing Variation Probability Distribution

4 ii CONTENTS 1.4 Trends in Variations Traditional Corner-based Timing Modelling Statistical timing modelling Modelling in parameter-space Modelling in performance-space Analytical Models Semi-empirical Models Table Lookup Models CMOS 65nm technology variation modelling Summary Static Timing Modelling of Interconnect Wires and Vias Parameter Extraction Distributed RC Delay Model Interconnect Timing Characterization Uniform Buffer Insertion Different Routing Layers Local Layer

5 CONTENTS iii Intermediate Layers Delay Estimation Model Simulation Results and Comparisons Summary Statistical Timing Modelling Statistical Standard Cell Characterization Standard Cell Timing under Global Variations Standard Cell Timing under Local Variations Standard Cell Statistical Timing Models Statistical Interconnect Characterization Interconnect Structure Interconnect Geometry Parameter Variation Dielectrics Variation Interconnect Electrical Parameter Variation Interconnect Statistical Timing Models Uniform Buffer Insertion under Parametric Variations Summary

6 iv CONTENTS 4 Design Flow and Automation Statistical Timing Analysis Flow Characterization Automation Summary Conclusion 77 Bibliography 79 A Tcl Descriptions 85 A.1 Tcl for Standard Cell Characterization A.2 Tcl for Interconnect Characterization A.3 Tcl for Uniform Buffer Insertion B Principle Component Analysis Methods 125 B.1 Multiple Linear Regression Method B.2 Pareto Analysis

7 List of Figures 1 Relative importance of variations in Device, Interconnect and Environment from [9] Technology parameter variations from [9] Wire segment model Interconnect static timing characterization Circuit for HSPICE simulations Delay per Unit vs. Wire segment length, local layer Best wire segment length vs. Buffer drive strength, local layer Minimum delay per unit length vs. Buffer drive strength, local layer Wire segment with vias between metal layers

8 vi LIST OF FIGURES 2.8 Delay per Unit vs. Wire segment Length, intermediate layer Standard cell INVX2 propagation delay distribution under global variations Propagation delay sensitivities to different sources of variations V th variations of identical transistors U0 variations of identical transistors Standard cell INVX2 timing distribution under local variations INVX31 Timing Distribution Statistical standard cell timing characterization Interconnect structure Interconnect timing distribution under parametric variations Statistical interconnect characterization DPU + 3σ vs. Wire Segment Length Standard Deviation of DPU (σ) vs. Wire Segment Length Statistical timing analysis flow Timing characterization automation flow

9 List of Tables 1 CMOS technology roadmap from [4] Wires parameters Vias parameters Delay of Π-models with different number of segments Local layer interconnect static timing model Optimal buffer number and wire delay comparison between simulations and calculations for the local layer with maximal C unit Optimal buffer number and wire delay comparison between simulations and calculations for the intermediate layer with maximal C unit Weight of each parameter for delay variation

10 viii LIST OF TABLES 3.2 Coefficients of Equation Standard cell statistical timing data Weight of each parameter for delay variation Standard cell delay variation (standard deviation) caused by global and local parametric variations separately Statistical timing model of INVX Statistical timing model of INVX Statistical timing model of NAND2X Statistical timing model of NOR2X CMOS 65nm interconnect geometry parameter variation CMOS 65nm dielectric relative permittivity variation Interconnect unit length resistance and unit length capacitance variations with minimal width and minimal spacing Weight of each parameter variation for the unit length resistance variation, local layer with minimal wire width and spacing Weight of each parameter variation for the unit length capacitance variation, local layer with minimal wire width and spacing Weight of each parameter variation for the interconnect delay, local layer with minimal wire width and spacing

11 LIST OF TABLES ix 3.16 Local layer interconnect statistical timing models Best wire segment length with and without parametric variations Path timing data

12 x LIST OF TABLES

13 Acknowledgements First and foremost, I would like to thank my supervisor, Associate Professor Alberto Nannarelli for his guidance, concern and help through my MSc. His advice and confidence in me have been encouraging me and they are very important in the completion of this thesis. I am grateful to have the pleasure of knowing and working with him. I also want to thank my thesis co-supervisor, Dr. Tobias Bjerregaard, CEO of Teklatech. Thanks for his idea of this thesis project. His insight, advice and comments regarding this thesis are crucial in completing this work. A special thanks goes to Associate Professor Flemming Stassen for giving me helpful advices along my MSc study. I own my gratitude to my family and friends. Specially, I would like to thank my parents for their constant support and encouragement. I want to thank Wei Liu and Bing Zhang for their various discussions and help. Last but not least, I want to give my special thank you to Xiaojun for her trust, patience and support through my MSc.

14 xii ACKNOWLEDGEMENTS

15 Abstract As technology scaling enters into nanometer geometries, there is a significant increase in performance uncertainty of SoC designs due to parametric variations. Variability is posing an increasing challenge in timing analysis for designers. The traditional corner-based approach is not effective anymore and it will induce pessimism. Therefore, statistical timing analysis has become very important in overcoming the weakness of the traditional methods. By constructing statistical timing models for variations, chip performance and parametric yield will be more accurately predicted. In this thesis, variability aware statistical timing models of standard cells and system level interconnects are going to be developed by using SPICE simulations.

16 xiv ABSTRACT

17 Introduction As CMOS technology scales down to nanometer, chip devices and interconnects are increasingly susceptible to process variations introduced during manufacturing process [1][2][3]. Table 1 shows that the magnitude of parameter variations can not scale down as fast as the nominal values, so the parameter variation, as a percentage of the nominal value, becomes larger and larger [4]. Thus, without properly addressing and predicting variations in devices and interconnects, the yield of chips can drop to unacceptably low levels due to timing violations. It has been shown that process variations can cause up to 30% variation in frequency of a chip fabricated in 180nm CMOS technology [5] and the timing spread due to process variations has been increasing by more than 25% for each new technology [6]. An approach to accurately identify, model and analyze these variations is essential for 65nm technology and below to achieve timing closure and high yields. The traditional corner-based static timing approach can not address variations in an efficient way and tends to be very pessimistic. The corner-based analysis focuses at

18 2 Introduction Parameters Nominal Values 3σ Values Years L eff [nm] T ox [nm] Vdd [V] V th [mv] W [µm] H [µm] ρ [mω/sq] Table 1: CMOS technology roadmap from [4] the extreme points of the parameters and considers all parameters to move in the same direction. It does not address the variations of parameters when they move in different directions, which can result in over design or even chip failure. Moreover, an extremely large number of corners will be generated and analyzed in order to model parametric variations. Recent efforts have been active in the area of statistical static timing analysis to overcome the barrier in the traditional static timing methodology, which focus on the propagation of gate delay variability along paths and probabilistically solve timing margin. Although these approaches aim to precisely predict chip performances and parametric yields as probability distributions, their accuracy strongly depends on the modelling of the gate and interconnect timing variability that are employed as the fundamental [7]. This work falls into two major parts: static timing modelling of chip interconnects and statistical timing modelling of both standard cells and chip interconnects. In conventional IC design, standard cells are well characterized but the interconnect

19 Introduction 3 characteristics are difficult to get until the detailed routing is finished. In the first part of this work, we develop an early system interconnect characterization method which is based on [8] and derive static timing models for different interconnect layers. Moreover, we consider the uniform buffer insertion method for delay minimization and build a delay estimation model from simulations. Our main objective is to construct statistical timing models for both standard cells and chip interconnects to address their timing variations since their relative importance in chip timing performance as Figure 1. In the first portion of statistical timing modelling part, we identify the most important process parameters in affecting the standard cell timing variation among different variation sources. Based on these parametric variations, we build statistical timing models addressed for standard cell (NAND2, NOR2, INV) global and local variations. In the next portion, we extend our static interconnect characterization method to take interconnect (wire) parametric variations into consideration and statistically characterize system interconnects with different dimensions. Furthermore, the uniform buffer insertion method under standard cell and interconnect variations for both delay minimization and yield optimization is compared to the uniform buffer insertion method without considering process variations. In order to apply these statistical characterization results, we develop an design flow for statistical timing analysis. We apply our standard cell and interconnect statistical timing models into a simple circuit and compare to Monte Carlo simulation results. This work is carried out by running HSPICE simulations of standard cells and interconnects in CMOS 65nm technology. The simulation automation is implemented by Tcl script files which can generate HSPICE deck and extract useful results automatically.

20 4 Introduction Wire Percent Device 20 Environment Year Figure 1: Relative importance of variations in Device, Interconnect and Environment from [9]

21 Introduction 5 This work is organized as follows. Chapter 1 introduces background concepts related to statistical timing modelling and previous work in this area. Chapter 2 describes static timing modelling for chip interconnect. Chapter 3 presents statistical timing modelling for standard cells and chip interconnects. Chapter 4 summarizes the statistical timing analysis flow and characterization automation. Chapter 5 draws the conclusions. There are two appendixes: Appendix A includes the Tcl descriptions for the characterization automation and Appendix B gives the principle component analysis methods we use in this work.

22 6 Introduction

23 Chapter 1 Background Introduction The main purpose of this chapter is to provide the necessary background for the concepts and methods presented in this work. First, we classify the sources of variations and illustrate some important parametric variations which affect the timing performance. Then, we investigate the distributions of different parameter variations and observe the trends of variations from different technologies. In the last part of the chapter, we briefly describe the traditional corner-based timing modelling and the existing work in statistical timing modelling.

24 8 Background 1.1 Sources of Variations The variation is the deviation from intended or designed values for a structure or circuit parameter of concern [2]. There are various ways of categorizing variability. The sources of variations which affect IC performance can fall into two categories [9][10]: Environmental Variations which are due to unpredictable operating conditions such as the power supply voltage, chip s temperature, coupling noise, switching activity etc. Fabrication/Manufacturing Variations which are subject to fabrication processing and masking imperfections and various wearout mechanisms, and result in Front End of Line (FEOL) and Back End of Line (BEOL) variations that are essentially permanent. According to [14], FEOL or active transistors and gates variations have transistor gate length and gate width, gate oxide thickness, and doping related variations as the primary components. BEOL or interconnecting metal and dielectric layers variations entail line with and spacing, metal/dielectric thickness, metal resistivity and via resistance as the major components. Variability can also be characterized as die-to-die variations and within-die variations based on its inherent length scale [15]. Die-to-Die or Inter-die variation: Inter-die variation considers the difference of a parameter across nominally identical dies (they can be on the same wafer, or on different wafers, or on different lots) [2] and within the same die, there is no parameter variations taken into account. Inter-die variations

25 Specific Variations on Timing 9 are caused by the fabrication process and they are mainly independent of the design implementation. Within-Die or Intra-die variation: Intra-die variation is the difference of a parameter spatially within the same die. Intra-die variations are both fabrication and design implementation dependent. Intra-die variations can be further divided into systematic or random variations. Systematic variation: Systematic variations, such as those caused by lithography and chemical mechanical polishing (CMP), are deterministic and can be modeled. These variations depend on neighboring layout feature dimensions or local layout density, and their effects on circuit performance tend to cancel each other and leave spatial correlation of process parameters as the major contribution to the circuit performance variation [26]. Random variation: Random variations include the phenomena that are generally not predictable, such as dopant fluctuations in a channel. 1.2 Specific Variations on Timing Given the different categories of variations, some variations are more important since their impact on the timing performance. In this section, some specific variations which have high impact on the timing performance are listed according to the previous work [2][15][29][30]. Device geometry variations: gate length, width and oxide thickness have impact on the gate timing and gate length in particular has the highest impact.

26 10 Background Device electrical parameter variations: threshold voltage (V th ) has high effect on the gate timing. Interconnect geometry variations: wire width and spacing between wires, metal and dielectric thickness all impact the interconnect timing. Interconnect material parameter variations: metal resistivity, contact and via resistances are factors in affecting the interconnect timing. Environmental variation: supply voltage (V DD ) has also impact on timing. The reason of the above variations may have high impact on timing is mostly from an analytical way which is based on the existing models describing the relationship between the performance and the corresponding parameter. For the parameters which does not have a direct model, the sensitivities of the timing performance to the parametric variations can be carried out by simulations. However, most of the previous work are based on some assumptions or experiments within a small set of parameters, and due to the correlations between these variations, there might be other sources of variations which also have impact on timing. 1.3 Variation Probability Distribution Most researchers assume process variations as Gaussian (Normal) distributions. One major reason is that there exists a lot of mathematical framework to facilitate it. Experiments in [27] also show that non-gaussian distributions of parameter variations can be approximated by Gaussian ones with a reasonable accuracy in many cases. However, variability in parameters may not always be accurately modeled as a Gaus-

27 Trends in Variations 11 sian distribution. Some process parameters have significantly non-gaussian probability distributions. For example, via resistance is known to have an asymmetric probability distribution [10][27]. Forcing such a significantly non-gaussian distribution to be a Gaussian distribution may introduce large errors. The authors of [28] model non-gaussian parameter variation as a quadratic function of some other Gaussian random variable X, Y = ax 2 + bx + c (1.1) where Y is the non-gaussian parameter variation. They show a reasonable fitting accuracy with different non-gaussian distributions. The authors of [27] extend the first-order canonical form (1.8) to handle arbitrary non-gaussian and nonlinear parameter variations, n A = a 0 + a i X i + f A ( X N ) + a n+1 R a (1.2) i=1 where X N = ( X N,1, X N,2,...) is a vector of nonlinear and/or non-gaussian parameters and f A is a function describing the dependence on nonlinear and non- Gaussian parameters. Note that the only difference between (1.8) and (1.2) is by the term f A ( X N ), which can be specified by a table for numerical computations. The experimental results show that their methods achieve closer results of Monte-Carlo simulations than the first order canonical timing model. 1.4 Trends in Variations Three trends in variations have been observed: Most of the technology parameter variations as percentages of their scaled values are increasing in each technology, and the effective channel length (L eff )

28 12 Background variation percentage is increasing very fast. various parameters. Figure 1.1 shows the trends of Interconnect variations are getting more important since its increasing influence in the advanced technologies [9][1]. From Figure 1, relative importance of variations for timing performance in device, interconnect and environment can be seen based on the analysis in [9]. In the past, die-to-die variability, which is managed by the worst case design methods, dominates over within-die variability. In the present and the future, within-die variability becomes increasingly significant [18]. Figure 1.1: Technology parameter variations from [9]

29 Traditional Corner-based Timing Modelling Traditional Corner-based Timing Modelling The deterministic, static timing analysis deals with variations in a corner-based manner, or case-based manner, e.g., best case, worst case, and normal timing. It tries to identify the process corners to ensure that the performance of the design is acceptable within the extreme boundaries. It has been proved that the inter-die variation is well considered by worst-case corners [9][18]. Foundries usually provide at least four corners (nmos:fast, pmos:fast), (nmos:slow, pmos:slow), (nmos:fast, pmos:slow), (nmos:slow, pmos:fast) and together with the typical point (nmos:typical, pmos: typical) to characterize transistors. However, the corner-based modelling has several serious limitations. First, it requires an exponential number of corners as the number of parameter variations increases. Second, it may over-estimate or underestimate variations. It is very pessimistic to assume that the worst-case occurs when every parameter is at its worst-case value, which is also unrealistic and increases the design effort; whereas, since it is impossible to analyze all possible corners, the missing corners may cause parametric yield loss. Third, the corner-based modelling can only provide designers with quantitative information about the robustness and sensitivities of their designs [16]. 1.6 Statistical timing modelling The limitations of corner-based modelling drive us to look at the timing in a fundamentally new way. That s why the statistical timing comes into consideration. Statistical timing analysis models gate and wire delays as probability distributions with complex correlations and predicts chip performances and parametric yields as probability distributions. The advantages of the new paradigm include fast turnaround, incremental operation for optimization, pessimism reduction, sensitivity prediction,

30 14 Background and the enabling of performance-versus-yield trade-offs [19]. Statistical timing modelling can be broadly characterized into parameter-space (or input space) and performance-space (or output space) [16][20] Modelling in parameter-space Modelling in parameter-space is actually a variation extraction process. Process variations are usually represented by continuous probability distributions and most researchers assume them to be normal or Gaussian distributions. The modelling involves decomposition of the variations into different components [14][16][17]. The process variations in parameter P can be modeled as, P var = P interdie (global) + P intradie (x, y) + P intradie (random) (1.3) where P var is the total variation, P interdie (global) represents die-to-die variations, P intradie (x, y) represents within-die spatially correlated variations, (x,y) is the coordinate system on the die, and P intradie (random) represents within-die random variations. Then the parameter P can be expressed as, P = P norm + P var (1.4), where P norm is the normal value of parameter P. As an example, Pelgrom [23] proposed a mismatch model for a transistor pair with the same size. The variations are modeled by normal distributions with zero mean and variance defined as, σ 2 ( P ) = s 2 pd a p W L (1.5), where W and L are the gate width and gate length of the transistors; D 12 is the distance between the pair of the transistors; s p and a p are process dependent

31 Statistical timing modelling 15 constants. Compared to Equation (1.3), there is no inter-die component of variation, and the first term on the right hand side of Equation (1.5) models the intra-die spatially correlated variation and the second term represents the intra-die random variation Modelling in performance-space Modelling in performance-space is to predict the impacts of parameter variations on performances, which involves modelling performance variables such as delays, arrival times, required arrival times and slacks [20]. In general, these models can be expressed as, r = F (d, p) (1.6), where r represents the performance variable, such as propagation delay; d is a vector of designable parameters (device sizes); and p is a vector of process (e.g. T ox ), environmental (e.g. temperature T) and empirical (e.g. mobility reduction) parameters [17]. These models can be categorized into three classes [21] based on the ways of evaluating function F, Analytical Models These models for variability are usually based on a nominal model which describes the typical relationship between the underlying physics and the corresponding performance. Then, variations are characterized and once the characterization results are available, two methods can be employed: either running simulations (e.g. Monte Carlo algorithm) which are used to study the resulting variation in performance and

32 16 Background find the fitting model parameter; or theoretically calculating the variability affect in performance. As an example, the authors of [15][24][7] built their timing models addressing to variability based on the following equation, T d = C LV DD I on (1.7), where T d is the gate delay; C L is the load capacitance; V DD is the supply voltage; and I on is the saturation current. Based on this nominal model, [15] got the delay variation model by simulations, while [24][7] derived their models theoretically Semi-empirical Models They model variability through various approximation methods and base upon the most important sources of variations. These models are usually easier to derive but less accurate compared to analytical models. As an example, Visweswriah [25] proposed a canonical first-order delay model for all timing quantities. The model allows for both global correlations and independent randomness. All gate and wire delays, arrival times, slacks, slews and so forth are expressed as n a 0 + a i X i + a n+1 R a (1.8) i=1, where a 0 is the nominal value; X i, i = 1, 2,..., n, represent the variation of n global sources of variation X i ; a i, i = 1, 2,..., n, give the sensitivities to each of the global sources of variation; R a is the variation of an independent random variable R a ; and a n+1 is the sensitivity of the timing quantity to R a.

33 Statistical timing modelling Table Lookup Models These models are simulation based and variations can be easily modeled into the lookup tables. This type of models are technology independent and are easier to derive compared to the above two types. However, there is no physical insight into device behavior and it is time consuming to build these models. As an example, Bao [26] proposed a parameterized current source gate model for process variations by constructing two lookup tables, I o (V i, V o, ε) and C g (V i, V o, ε), where I o is gate output current, C g is intrinsic gate capacitance; ε represents process variations; V i and V o are a pair of gate input and output voltages. He also constructed a gate performance model based on the above current source gate model. The gate performance model is also a lookup table establishing functional relationships between the gate performance, the process variations and the input signal waveform variation, D g (g i ) = f(d g (g j ), ε) (1.9), where gate g j precedes gate g i in a signal propagation path; and D g (g i ) is a vector of signal arrival times CMOS 65nm technology variation modelling Many good points of CMOS 65nm technology variation modelling have been presented in [31]. Two types of variations are defined: global and local variations, which are the same as inter-die and intra-die variations described respectively in Section 1.1. Variation distributions are specified in three different ways, Gaussian distribution, Lognormal distribution (the logarithmic value of the variation is defined by a Gaussian distribution), and Uniform distribution. Three kinds of correlations

34 18 Background among global variations are assumed: Intrinsic, Intra-Family, and Extra-Family. Three modelling methodologies are available for the technology variations: statistical, user defined corner, and pre-defined corner models. Parameters are provided to the user by the library to enable these models and define them. All these modelling methods are based on typical models, and each variation is at least linked to one model parameter. For statistical models, Monte-Carlo simulation method is employed. For user defined corner models, the combination of the technology variations can be fully defined by the user. The pre-defined corner modelling is the traditional best case, worst case method (e.g. SS,FF,SF,FS corners). 1.7 Summary Categorizing variations can help modelling them and two ways of classifying variations have been summarized: environmental and manufacturing variations; inter-die (global) and intra-die (local) variations. Intra-die variations can be further divided into systematic and random categories. Three trends in variations have also been observed In practice, most of the variation distributions can be approximated by Gaussian and only some significant non-gaussian distributions need to take special considerations. Some variations (L eff, V th, etc.) have high impact on timing performance. In order to characterize these variations and their impact on timing performance, an accurate modelling method is needed. Traditional corner based methods are too pessimistic and will tradeoff much design effort. Statistical timing modelling comes as a alternative, which deals variations in a statistical way. The statistical timing models can be broadly classified into parameter space and performance space. In parameter space, variations are extracted and characterized by inter-die, intra-die

35 Summary 19 systematic and intra-die random variations. In performance space, models can be further categorized into three classes according to the way of deriving these models: analytical, approximation based semi-empirical and simulation based lookup table models.

36 20 Background

37 Chapter 2 Static Timing Modelling of Interconnect Introduction In this chapter, we describe our work in static timing modelling of chip interconnect (wire). In the first part of the chapter, we summarize the parameter extraction of different layer wires and vias. Then distributed RC delay model used in this work is described. Moreover, the interconnect timing characterization is shown. Finally, the uniform buffer insertion method for different routing layer interconnects, the delay estimation model and simulation results are described.

38 22 Static Timing Modelling of Interconnect 2.1 Wires and Vias Parameter Extraction The wire resistance is calculated as, R = R sheet (L/W ) (2.1), where R sheet is the sheet resistance of different metal layer; L is the wire length and W is the wire width. The wire capacitance is much more complicated to extract and it consists of the following different types of capacitances: the parallel plate capacitance of the bottom and top of the wire to the below and above metal layers respectively, the fringing capacitance arising from fringing fields along the edge of the wire, and neighbor wires coupling capacitance in the same layer. capacitance is calculated as, The wire C = C unit L (2.2), where C unit is the unit length capacitance of the wire. There are many ways to estimate C unit and in this section, we use HSPICE built-in two dimensional field solver which can compute C unit from a cross-sectional description of the wire. Since C unit is heavily dependent on the actual layout, we give a maximal and minimal estimation for the minimal width wire. The maximal case is with metal planes above and below the estimated wire and with minimal spacing neighboring wires in the same layer. The minimal case is with substrate below and nothing above and also no neighboring wires in the same layer. Different metal layers parameters have been extracted for CMOS 65nm technology as in Table 2.1. The parameters of different vias between metal layers have also been extracted for CMOS 65nm technology as in Table 2.2.

39 Wires and Vias Parameter Extraction 23 Metal layer Width [µm] Space [µm] Thickness [µm] Sheet resistance [Ω/sq] Maximal Unit Capacitance [af/µm] Minimal Unit Capacitance [af/µm] Table 2.1: Wires parameters Via layer W(µm) L(µm) T(µm) S(µm) R(Ω) C(aF) Via Via Via Via Via Table 2.2: Vias parameters

40 24 Static Timing Modelling of Interconnect 2.2 Distributed RC Delay Model In this work, the wire delay is modeled as distributed RC delay. A four-segment Π-model is used for HSPICE simulation as Figure 2.1. Figure 2.1: Wire segment model In order to see the accuracy of four-segment compared with the true distributed RC delay, a series of experiments have been carried out for different number of segments with different wire segment lengths and the results are in Table 2.3. The wire segment length varies from 0.1mm to 3mm and the inaccuracy of four-segment compared with the true distributed RC delay is within 2%. The best wire segment length is less than 1mm for 65nm technology, so the four-segment Π-model is accurate enough to represent segmented wire delay.

41 Interconnect Timing Characterization 25 L(mm) 1- seg(ps) 2- seg(ps) 4- seg(ps) 6- seg(ps) 8- seg(ps) 10- seg(ps) 12- seg(ps) Table 2.3: Delay of Π-models with different number of segments 2.3 Interconnect Timing Characterization The goal of the interconnect timing characterization is similar to the standard cell characterization by providing timing tables representing the timing behavior (propagation delay and output transition time) of wires with respect to their driving gates and loading gates. The characterization process is illustrated in Figure 2.2 and it is based on [8]. A number of HSPICE transient analysis simulations are run for all relevant combinations of the driving gates, the system wires with different dimensions and the loading gates. The driving gates and loading gates are from standard cell library and they are all inverters in our work. The timing behaviors (propagation delay and output transition time) of interconnects are collected in a tabular way and the local layer interconnect (first metal layer) static timing model is shown in Table 2.4. The propagation delay does not include the delay of the driving gate and loading gate.

42 26 Static Timing Modelling of Interconnect Figure 2.2: Interconnect static timing characterization

43 Interconnect Timing Characterization 27 Width (µm) Spacing (µm) Length (mm) Driver Load Propagation delay (as) Output transition time (ns) INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX INVX2 INVX INVX31 INVX Table 2.4: Local layer interconnect static timing model

44 28 Static Timing Modelling of Interconnect 2.4 Uniform Buffer Insertion We use the uniform buffer insertion method for system wires to minimize delay. Buffers with the same drive strength are inserted evenly along the wire and each buffer drives the wire segment with the same length. The circuit for HSPICE simulations is as Figure 2.3 and each wire segment is modeled as Figure 2.1. Figure 2.3: Circuit for HSPICE simulations The uniform buffer insertion problem can be expressed as: finding the optimal wire segment length for a given wire length, which can achieve the minimal end-to-end signal propagation delay. The metric delay per unit length (DPU) [11] is used and can be calculated by dividing the segment delay (the delay of one buffer and the wire segment it drives) by the distance (the wire segment length) traveled. The similar mathematical deduction can be found in [12][13]. We use the circuit in Figure 2.3 to find out how the DPU distributes by increasing the wire segment length from 0.1µm to 1mm and recording the corresponding segment delay for different routing metal layers.

45 Uniform Buffer Insertion Different Routing Layers We divide all the routing layers (6 metal layers) into three classes: local layer (metal layer 1), intermediate layers (metal layer 2,3,4,5) and top layer (metal layer 6). Since the top layer is often used for power grids, we consider only local and intermediate layers here Local Layer The DPU distribution of the local layer is in Figure 2.4. It can be seen that the DPU decreases first as the wire segment length increases and until it reaches the optimal length. When the wire segment length is optimal, the DPU achieves the smallest value and it then increases as the wire segment length continues to increase. So the best wire segment length is the length when the DPU reaches the smallest value. HSPICE has built-in optimization capabilities which can be used in this case to find the smallest delay per unit length of the wire by tweaking the wire segment length. We use Tcl scripts do the same thing which can be seen in Appendix A.3. Once the minimal delay per unit length is found, the best wire segment length is also known. We can estimate the buffer number for any given length of the specified metal layer and buffer drive strength based on the best wire segment length and it is calculated by dividing the given wire length by the best wire segment length. Figure 2.4(a) and 2.4(b) are DPU distributions simulated with maximal C unit and minimal C unit from Table 2.1 respectively and the drive strength of the buffer is X31. The best wire segment length is 0.25mm and the delay per unit is 282ps/mm for maximal C unit ; while the best wire segment length is 0.57mm and the delay per unit is 90ps/mm for minimal C unit.

46 30 Static Timing Modelling of Interconnect (a) Maximal C unit (b) Minimal C unit Figure 2.4: Delay per Unit vs. Wire segment length, local layer

47 Uniform Buffer Insertion 31 There are 20 different drive strengths for inverters ranging from X2 to X284 in CMOS 65nm technology library and Figure 2.5 and 2.6 show how the best wire segment length and the delay per unit length change with the buffer drive strength for local layer. From these Figures, X106 with maximal C unit achieves the minimal delay per unit length 190.7ps/mm with the best wire segment length 0.25mm; while X62 with minimal C unit achieves the minimal delay per unit length 86.3ps/mm with the best segment length 0.56mm Maximal wire unit capacitance Minimal wire unit capacitance Best wire segment length (mm) Drive strength (X) Figure 2.5: Best wire segment length vs. Buffer drive strength, local layer

48 32 Static Timing Modelling of Interconnect 2500 Maximal wire unit capacitance Minimal wire unit capacitance Minimal delay per unit length (ps/mm) Drive strength (X) Figure 2.6: Minimum delay per unit length vs. Buffer drive strength, local layer

49 Uniform Buffer Insertion Intermediate Layers Metal layers 2,3,4,5 are of the same size, sheet resistance and similar unit capacitance, which can be see from Table 2.1. Here, we use metal layer 3 as the intermediate layer for simulations and compare with the local layer. Other intermediate layers have the similar delay and best wire segment length as metal layer 3. Vias need to be taken into account for intermediate layers. In order to use the same circuits in Figure 2.3, the wire segment should be changed to add vias into it. Now the wire segment is modeled as Figure 2.7 to consider vias between different metal layers. Each via is modeled as a lumped RC and the parasitics are taken from Table 2.2. We take a typical 10aF and 1Ω for each via and two vias between different metal layers. Figure 2.7: Wire segment with vias between metal layers The DPU distribution of the intermediate layer is in Figure 2.8. It can be seen that the best wire segment lengths are 0.32µm and 0.75µm and the DPU are 248.7ps/mm and 71.5ps/mm for maximal C unit and minimal C unit respectively. Due to the very small delay of vias, the intermediate layer has longer delay than the local layer only below the micro-meter level and at the millimeter level, the intermediate layer has

50 34 Static Timing Modelling of Interconnect shorter delay than the local layer because of its smaller R sheet and C unit Delay Estimation Model A static timing model for system wire can be developed from the previous section. The delay of the system wire can be estimated as, D w = D pu L (2.3), where D pu is the delay per unit length from HSPICE simulation and L is the system wire length. Since the source driver has a nearly constant delay for a given buffer drive strength, we can also extend this model to calculate the end-to-end propagation delay by adding a constant delay of the source driver. However, due to the input shaping during the first few segments and the load in the last segment, we add empirical parameters into this model for different ranges of lengths in order to achieve a better accuracy. Equations 2.4 and 2.5 are for the local layer and intermediate layer with buffer drive strength X31 respectively. These empirical parameters are extracted from a series of HSPICE simulations with different wire lengths. D w = D pu L 50 ps, D pu L 40 ps, D pu L 30 ps, L 1 mm 1 mm < L 2 mm L > 2 mm (2.4) D pu L 70 ps, L 1 mm D w = D pu L 60 ps, D pu L 50 ps, D pu L 40 ps, 1 mm < L 1.3 mm 1.3 mm < L 1.5 mm L > 1.5 mm (2.5)

51 Uniform Buffer Insertion 35 (a) Maximal C unit (b) Minimal C unit Figure 2.8: Delay per Unit vs. Wire segment Length, intermediate layer

52 36 Static Timing Modelling of Interconnect The buffer number of the system wire can be estimated as, L N = L seg (2.6), where L is the system wire length and L seg is the best wire segment length. Similarly, some empirical parameters can be added into Equation 2.6. Equations 2.7 and 2.8 are for the local and intermediate layers buffer number estimations respectively with buffer drive strength X31. N = N = 1, L 1 mm L L seg 2, 1 mm < L 4 mm L L seg 1, L > 4 mm 1, L 1.4 mm L L seg 3, 1.4 mm < L 2 mm L L seg 2, 2 mm < L 5 mm L L seg 1, 5 mm < L 15 mm L L seg, 15 mm < L 25 mm L L seg + 1, 25 mm < L 35 mm L L seg + 2, L > 35 mm (2.7) (2.8) Simulation Results and Comparisons We use standard cell inverters as buffers. The power supply is 1.2V and the temperature is normal (25 o C). Typical input transition time (0.1Vdd to 0.9Vdd) is 0.02ns. In order to find out the accuracy of our delay estimation model, a series of simulations

53 Uniform Buffer Insertion 37 have been carried out. Local and intermediate layers are simulated with buffer drive strength X31. In Table 2.5 and 2.6, both simulated and estimated best buffer number and corresponding minimal delay have been listed. Wire (mm) length Simulated Estimated Simulated Estimated Wire delay wire delay buffer numbeber buffer num- (ns) (ns) / /160/ /200/ Table 2.5: Optimal buffer number and wire delay comparison between simulations and calculations for the local layer with maximal C unit From Table 2.5 and 2.6, we can see that the inaccuracy of wire delay estimation by our model is within 5% and buffer number estimation is within 1 compared with HSPICE simulations. Even without the empirical parameters, our model can still achieve within 10% inaccuracy and buffer number estimation inaccuracy within 2

54 38 Static Timing Modelling of Interconnect Wire (mm) length Simulated Estimated Simulated Estimated Wire delay wire delay buffer numbeber buffer num- (ns) (ns) / /111/ / Table 2.6: Optimal buffer number and wire delay comparison between simulations and calculations for the intermediate layer with maximal C unit

55 Summary 39 for system wire longer than 2mm. 2.5 Summary In this chapter, the interconnect and via geometry and electrical parameters were extracted for CMOS 65nm technology. Since the wire capacitance can not be exactly known until the routing is finished, we gave a best case and a worst case estimation based on interconnect dimensions and the proximity effects. Wires were modeled as distributed RCs. Four segments Π-model are proved accurate enough from HSPICE simulations. The timing behaviors (propagation delay and output transition time) of different layers of interconnects have been characterized from different combinations of interconnect dimensions, drivers and loads. The uniform buffer insertion method was developed for long interconnects. The best wire segment length is decided by the minimal delay per unit length (DPU). A delay estimation model was derived based on the DPU and wire length. With empirical parameters added, the inaccuracy of this model in delay estimation is within 5% compared HSPICE simulations.

56 40 Static Timing Modelling of Interconnect

57 Chapter 3 Statistical Timing Modelling Introduction In this chapter, we first present the statistical standard cell (INV, NAND2, NOR2) characterization by considering both global and local device variations. Then we describe the interconnect characterization under geometric and dielectric parameters variations. In the last part of this chapter, the uniform buffer insertion method under both standard cell and interconnect variations for both delay minimization and yield optimization is investigated.

58 42 Statistical Timing Modelling 3.1 Statistical Standard Cell Characterization The goal of the statistical standard cell characterization is very similar to the traditional characterization approach, which is to provide timing tables representing the timing behaviors (propagation delay and output transition time) of cells with respect to their operating conditions, namely the input transition time and the output load [35]. The main differences are that Monte Carlo simulations rather than the normal transient analysis are employed by statistical characterization method and pairs of mean and standard deviation values of all timings rather than deterministic values are collected in a tabular way. In the characterization process, we also identify the most important process parameters in affecting the cell timing performance variation Standard Cell Timing under Global Variations First, only global variations are introduced into standard cells. Five types of global variations are provided by CMOS 65nm technology library: effective channel length variation (xl), effective channel width variation (xw), oxide thickness variation (tox), NMOS specific variation (nsvt) and PMOS specific variation (psvt). NMOS and PMOS specific variations are mainly due to implant variations including the variations of threshold voltage(vt), mobility, junction capacitance and leakage. We assume all these global variation distributions are Gaussian and by running Monte Carlo HSPICE simulations, the cell timing variation can be derived. Taking an inverter cell as an example, the propagation delay of INVX2 after 1000 runs of Monte Carlo simulations is as Figure 3.1. The delay variation distribution of INVX2 is near Gaussian and can be represented with a mean value 14.58ps and a standard

59 Statistical Standard Cell Characterization 43 deviation (σ) value 0.75ps Probability Density Propagation Delay (s) x Figure 3.1: Standard cell INVX2 propagation delay distribution under global variations By sweeping the σ value of each parameter variation, we can find out the timing variation sensitivity to each parameter. From Figure 3.2, the delay variation is most sensitive to the variations of xl, nsvt and psvt since it is a linear-like relation between delay and the parameter variation, and xw and tox are secondary sources of variations in affecting the cell timing compared to the most sensitive ones. In order to characterize how these parameters account for the delay variations, we use multiple linear regression method (see Appendix B.1) to analyze Monte Carlo simulation results. The weights of each parameter accounting for the delay variation are found as Table 3.1.

60 44 Statistical Timing Modelling 1.7 x x Propagation Delay (s) Propagation Delay (s) Sigma of xw (a) Delay sensitivity to xw variation Sigma of xl (b) Delay sensitivity to xl variation 1.7 x x Propagation Delay (s) Propagation Delay (s) Sigma of tox (c) Delay sensitivity to tox variation Sigma of nsvt (d) Delay sensitivity to nsvt variation 1.7 x Propagation Delay (s) Sigma of psvt (e) Delay sensitivity to psvt variation Figure 3.2: Propagation delay sensitivities to different sources of variations

61 Statistical Standard Cell Characterization 45 Parameter Weight Effective channel width (xw) -0.5% Effective channel length (xl) 58.7% Oxide thickness (tox) 0.1% NMOS specific (nsvt) -19.2% PMOS specific (psvt) -21.5% Table 3.1: Weight of each parameter for delay variation Table 3.1 shows that 99.4% of the INVX2 delay variation is due to the variations of three parameters: xl, nsvt and psvt, which explains the linear relation in Figure 3.2. Moreover, the sign of each weight indicates the direction of that parameter contributing to MAX/MIN delay variation spread corners, which can also be seen from Figure 3.2. Taking global variations into account, the inverter delay can be estimated as: D = D nom + a1 xw + a2 xl + a3 tox + a4 nsvt + a5 psvt (3.1), where D nom is the mean delay of INVX2 and a1 to a5 are the coefficients representing the sensitivities of the delay variation to each parameter variation. The coefficients values are in Table 3.2. The variables xw, xl, tox, nsvt and psvt all have standard Gaussian distribution N(0,1). The accuracy of using Equation 3.1 to estimate propagation delay variation compared to Monte Carlo Simulation is within 1% and the simulation time is much shorter, which can be seen from Table 3.3.

62 46 Statistical Timing Modelling Coefficient a1 a2 a3 a4 a5 Value -0.05E E E E E-12 Table 3.2: Coefficients of Equation 3.1 Standard Cell Model Estimation Monte Carlo Error (%) (ps) (ps) Mean Std. Mean Std. Mean Std. INVX % 0.0% INVX % 0.0% NAND2X % 0.8% NOR2X % 0.0% Table 3.3: Standard cell statistical timing data

63 Statistical Standard Cell Characterization Standard Cell Timing under Local Variations Compared to global variations which affect all devices equally in a given chip, local variations can cause identically designed devices in a chip to behave differently under the same bias condition. We consider two sources of local variations which are threshold voltage (V th ) and mobility (U0) for device timing variation. In 65nm technology library, local variations of the impacted parameters P (V th and U0) are modeled as Gaussian distributions and the standard deviation is defined by the following equation: σ P = A/ 2 mult W L + B (3.2), where mult is the number of devices in parallel, W and L are the gate width and gate length of the transistors, and A and B are process dependent constants. As shown in Figure 3.3 and Figure 3.4, local variations are perpendicular to global variations in the scatter diagram of V th and U0, and local variations obey a gaussian distribution. V th A and V th B, U0A and U0B are threshold voltage and mobility values from HSPICE simulation of two neighboring NMOS transistors with the same size. Monte Carlo simulations for INVX2 with only local variations can give timing distributions in Figure 3.5. The distribution of timing are Gaussian or near Gaussian and the propagation delay has a mean value 14.64ps and a standard deviation value 0.63ps; the output transition time has a mean value 14.14ps and a standard deviation value 0.21ps. Unlike global variations, there is no linear relation between the cell timing and

64 48 Statistical Timing Modelling (a) Combination of both global and local variations (b) Local variation Figure 3.3: V th variations of identical transistors

65 Statistical Standard Cell Characterization 49 (a) Combination of both global and local variations (b) Local variation Figure 3.4: U0 variations of identical transistors

66 50 Statistical Timing Modelling Probability Density Propagation Delay (s) x (a) Propagation delay distribution Probability Density Output Transition Time (s) x (b) Output transition time distribution Figure 3.5: Standard cell INVX2 timing distribution under local variations

67 Statistical Standard Cell Characterization 51 local parametric variations. So multiple linear regression method is not suitable in analyzing local variations. Instead, we use Pareto analysis (see Appendix B.2) as the principle component analysis method and the weight of each parameter accounting for the local variation is as Table 3.4 Parameter Weight V th 62.8% U0-37.2% Table 3.4: Weight of each parameter for delay variation Table 3.5 reports the standard cell delay variations under global and local parametric variations separately. It can be seen from Table 3.5 that local variations are comparable with global variations in affecting standard cell delay and can not be neglected especially for small drive strength in 65nm technology. Standard cell Std. (ps) Global Local INVX INVX INVX NAND2X NAND2X NOR2X NOR2X Table 3.5: Standard cell delay variation (standard deviation) caused by global and local parametric variations separately

68 52 Statistical Timing Modelling Standard Cell Statistical Timing Models The timing distributions of standard cells under both global and local device variations are mostly Gaussian or near Gaussian as shown in Figure 3.6, which can be represented as a pair of mean and standard deviation. Our standard cell statistical timing models provide pairs of mean and standard deviation values of cells timing behaviors (propagation delay and output transition time) in look up tables indexed by combinations of the input transition time and the output load. Both global and local device parameters variations are introduced into the standard cells. In order to also take the variations of input transition time and output load into consideration, we assume the input transition time and the output load as gaussian distributions instead of deterministic values as the traditional characterization approach. Monte Carlo HSPICE simulations are employed to derive these models. The statistical timing characterization process is illustrated in Figure 3.7. A number of Monte Carlo HSPICE simulations are run for all relevant combinations of the input transition time, standard cells with parametric variations and the output load. The simulation results are stored in a data structure (look up table). Table 3.6, Table 3.7, Table 3.8 and Table 3.8 show the statistical timing models of standard cells INVX2, INVX31, NAND2X2 and NOR2X6 respectively. 3.2 Statistical Interconnect Characterization The goal of the statistical interconnect characterization is similar to the statistical standard cell characterization, which is to model interconnect timing behaviors (propagation delay and output transition time) variations and find out the most

69 Statistical Interconnect Characterization Probability Density Propagation Delay (s) x (a) Propagation delay distribution Probability Density Output Transition Time (s) x (b) Output transition time distribution Figure 3.6: INVX31 Timing Distribution

70 54 Statistical Timing Modelling Figure 3.7: Statistical standard cell timing characterization

71 Statistical Interconnect Characterization 55 Input Transition Output Load Propagation Output Transi- Time (ff) Delay (ps) tion Time (ps) (ps) Mean Std. Mean Std. Mean Std. Mean Std Table 3.6: Statistical timing model of INVX2 Input Transition Output Load Propagation Output Transi- Time (ff) Delay (ps) tion Time (ps) (ps) Mean Std. Mean Std. Mean Std. Mean Std Table 3.7: Statistical timing model of INVX31 Input Transition Output Load Propagation Output Transi- Time (ff) Delay (ps) tion Time (ps) (ps) Mean Std. Mean Std. Mean Std. Mean Std Table 3.8: Statistical timing model of NAND2X2

72 56 Statistical Timing Modelling Input Transition Output Load Propagation Output Transi- Time (ff) Delay (ps) tion Time (ps) (ps) Mean Std. Mean Std. Mean Std. Mean Std Table 3.9: Statistical timing model of NOR2X6 important parameters in affecting the interconnect timing variation Interconnect Structure We consider a typical interconnect structure which consists of three parallel wire segments in the same layer between two ground planes (the top metal layer has only one ground plane below). The cross section of the structure is shown in Figure 3.8. The wires are uniform, with the length of l, the width of w, the thickness of t, the distance of h to the ground plane, and the spacing of s between wires Interconnect Geometry Parameter Variation The variations of geometry parameters (w, t, h, s) are listed in Table 3.10, which are extracted from CMOS 65nm design rule manual [34]. Table 3.10 shows that w, h and s all have a ±10% variation and t exhibits a ±15% variation.

73 Statistical Interconnect Characterization 57 (a) Cross section of local and intermediate layer interconnects (b) Cross section of top layer interconnect Figure 3.8: Interconnect structure

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

Statistical Performance Modeling and Optimization

Statistical Performance Modeling and Optimization Foundations and Trends R in Electronic Design Automation Vol. 1, No. 4 (2006) 331 480 c 2007 X. Li, J. Le and L. T. Pileggi DOI: 10.1561/1000000008 Statistical Performance Modeling and Optimization Xin

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Pre and post-silicon techniques to deal with large-scale process variations

Pre and post-silicon techniques to deal with large-scale process variations Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University Outline Introduction to Variability Pre-silicon

More information

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis EEC 118 Lecture #16: Manufacturability Rajeevan Amirtharajah University of California, Davis Outline Finish interconnect discussion Manufacturability: Rabaey G, H (Kang & Leblebici, 14) Amirtharajah, EEC

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla An Analytical Approach to Efficient Circuit Variability Analysis in Scaled CMOS Design by Samatha Gummalla A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits UNLV Theses, Dissertations, Professional Papers, and Capstones 12-1-2013 Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits Abu M. Baker University

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering CMOS logic gates João Canas Ferreira University of Porto Faculty of Engineering March 2016 Topics 1 General structure 2 General properties 3 Cell layout João Canas Ferreira (FEUP) CMOS logic gates March

More information

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter ECE 438: Digital Integrated Circuits Assignment #4 The Inverter Text: Chapter 5, Digital Integrated Circuits 2 nd Ed, Rabaey 1) Consider the CMOS inverter circuit in Figure P1 with the following parameters.

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2014 Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 205 Midterm Wednesday, November 4 Point values

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Chapter 5 MOSFET Theory for Submicron Technology

Chapter 5 MOSFET Theory for Submicron Technology Chapter 5 MOSFET Theory for Submicron Technology Short channel effects Other small geometry effects Parasitic components Velocity saturation/overshoot Hot carrier effects ** Majority of these notes are

More information

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout 0/6/06 Homework # Lecture 8, 9: Sizing and Layout of omplex MOS Gates Reading: hapter 4, sections 4.3-4.5 October 3 & 5, 06 hapter, section.5.5 Prof. R. Iris ahar Weste & Harris vailable on course webpage

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design & Logical Effort Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors ECE 342 Electronic Circuits Lecture 6 MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2

More information

POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS

POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS by Lin Xie A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering) at

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

SINCE the early 1990s, static-timing analysis (STA) has

SINCE the early 1990s, static-timing analysis (STA) has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 4, APRIL 2008 589 Keynote Paper Statistical Timing Analysis: From Basic Principles to State of the Art David

More information

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 Digital Integrated Circuits (83-313) Lecture 5: Interconnect Semester B, 2015-16 Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 What will we learn today? 1 A First Glance at Interconnect 2 3

More information

Fast Buffer Insertion Considering Process Variation

Fast Buffer Insertion Considering Process Variation Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel, Mindspeed Agenda Introduction and motivation

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

CMOS Logic Gates. University of Connecticut 181

CMOS Logic Gates. University of Connecticut 181 CMOS Logic Gates University of Connecticut 181 Basic CMOS Inverter Operation V IN P O N O p-channel enhancementtype MOSFET; V T < 0 n-channel enhancementtype MOSFET; V T > 0 If V IN 0, N O is cut off and

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) SYLLABUS UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2 m CMOS Design

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements A Thesis Submitted for the Degree of Doctor of Philosophy in the Faculty of Engineering

More information

Lecture 9: Interconnect

Lecture 9: Interconnect Digital Integrated Circuits (83-313) Lecture 9: Interconnect Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 23 May 2017 Disclaimer: This course was prepared, in its entirety,

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 08 MOS Inverters - III Hello, and welcome to today

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

Lecture #39. Transistor Scaling

Lecture #39. Transistor Scaling Lecture #39 ANNOUNCEMENT Pick up graded HW assignments and exams (78 Cory) Lecture #40 will be the last formal lecture. Class on Friday will be dedicated to a course review (with sample problems). Discussion

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

From Physics to Power, Performance, and Parasitics

From Physics to Power, Performance, and Parasitics From Physics to Power, Performance, and Parasitics The GTS-Team Global TCAD Solutions GmbH, Böserndorferstraße 1/12, 1010 Vienna, Austria O. Baumgartner et al. (GTS) GTS 1 / 25 Scales, methods, hierarchies

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information