Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA

Size: px
Start display at page:

Download "Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA"

Transcription

1 Gate Delay Calculation Considering the Crosstalk Capacitances Soroush Abbaspour and Massoud Pedram University of Southern California Los Angeles CA Asia and South Pacific Design Automation Conference 2004 Outline! Motivation! Background! Effective Capacitance Calculation! RC Loads! Coupled Capacitive Loads! Coupled RC Loads! Conclusions 1

2 Motivation! The stage delay in a VLSI circuit consists of the gate propagation delay and wire propagation delay.! This paper focuses on the problem of calculating the gate propagation delay.! For highest accuracy, we most carefully consider the effect of the resistive shielding and the capacitive coupling. Motivation (Cont d)! Because of the complexity of the problem, the simplest way is to ignore:! coupling capacitance between interconnects, and! resistive shielding of the interconnects! We only consider the capacitive loading on cell/gate propagation delay. 2

3 Gate Propagation Delay for Capacitive Loads! In the case of a purely capacitive load, the gate propagation delay is a function of:! input transition time, and! output load.! In commercial ASIC cell libraries, it is possible to characterize various output transition times as a function of the input transition time and output capacitance, i.e., tα = fα( Tin, CL)! α% denotes the percentage of the output transition.! t α is the output delay with respect to the 50% point of the input signal.! f α is the corresponding delay function. Gate Propagation Delay Algorithm: Capacitive Load Draw_Output_Waveform (δ, T in, C L ) 1. For α=10%, 50%, and 90% do t α =Calc_Delay (δ, T in, C L, Table(T in, C L, α)) 2. Draw the output waveform according to above data. Calc_Delay (δ, T in, C L, Table(T in,c L,α)) 1. From Table(T in,c L,α) according to T in and C L, find the 50% input to α% output propagation delay, add δ to this value, and call it t α 2. Return t α 3

4 Resistance Shielding and Effective Capacitance Approach! In VDSM technologies, we cannot neglect the effect of interconnect resistances of the load.! Using the sum of all load capacitances as the capacitive load provides an overly pessimistic approximation. Sum of all capacitive load Resistance Shielding! A more accurate approximation for an n th order load seen by the gate/cell (i.e., a load with n distributed capacitances to ground) is to use a second order RC-π model. RC-π load for effective capacitance algorithms! For efficient and accurate gate delay calculation, we then convert the second order RC-π model into an effective capacitance value and use that value as the output load of the gate. T in Gate /Cell Rπ C 1 C 2 C eff = C 1 + kc 2 where 0 k 1 4

5 Crosstalk Capacitance! As technology scales, the effect of coupling capacitances becomes more noticeable.! Given a complex load with two types of capacitive couplings (loadto-load and input-to-load couplings), one can use moment matching techniques to model the load and the parasitic elements as an RC network (see below).! Notice that the gate propagation delay calculation becomes challenging, potentially requiring large multi-dimensional lookup tables. t in,a t out,a R π,a C (a,b) C 1,a C 1,c C 2,a C 2,c C (b,a) t in,b t out,b R π,b C 1,b C 2,b Outline! Motivation! Background! Effective Capacitance Calculation! RC Loads! Coupled Capacitive Loads! Coupled RC Loads! Conclusions 5

6 Prior Work! C. Ratzlaff, S. Pullela, and L. Pillage, Modeling the RC Interconnect effects in a Hierarchical Timing Analyzer, CICC 1992.! M. Sriram and S. M. Kang, Fast Approximation of the Transient Response of Lossy Transmission Line Trees, DAC 1993.! R. Macys, S. McCormick, A New Algorithm for Computing the Effective Capacitance in Deep Sub-micron Circuits, CICC 1998,.! C.V. Kashyap, C.J. Alpert, A. Devgan, An effective capacitance based delay metric for RC interconnect ICCAD 2000.! S. Abbaspour, M. Pedram, Calculating the effective capacitance for the RC interconnect in VDSM technologies, ASP-DAC A New Effective Capacitance Calculation Algorithm! Consider a unit step voltage source that drives an RC circuit. The current flowing into the RC circuit in Laplace domain is calculated as: C I() s = V() s Y() s = src + 1! By calculating the total charge induced into the capacitance up to time T and equating with the total charge induced into the corresponding effective capacitance, we can write: ( ) T RC C = C(1 e ) eff 6

7 Effective Capacitance Calculation (Cont d)! Similarly, the effective capacitance for an RC-π model load can be written as: ( ktout Rπ C2 C = C + (1 e ) ) C eff 1 2! k is a dimensionless constant! t out is the gate output transition time.! As in Macys work, we define: C1 t Ceff = = out = α β γ ( C + C ) RC π 2 ( C + C )! Based on Macy s output-transition-time and gate-configuration independent table that relates these three parameters, we can rewrite the effective capacitance equation as: C C eff k + C 1 2 β ( 1 e )( 1 ) = γ = α + α Effective Capacitance Calculation (Cont d)! If we replace the output transition time (t out ) by 50% propagation delay we can rewrite the equation as: ( )( ) C eff ' 1 kt β = γ = α + e 1 α C1+ C2! where β is the ratio between the 50% propagation delay and the R π C 2 product.! k t is a fixed value which can be obtained from a lookup table (compiled from circuit simulation results), and which is constant for the calculated α and β. 7

8 Effective Capacitance Calculation (Cont d)! This plot shows k t values for 50% propagation delays for different α and β values in a 0.1µm CMOS technology k t α β' 16 Advantage of The Proposed Equation to Macys Equation! An analytical expression for effective capacitance! Our approach results in a more stable effective capacitance estimation. tout tout t t ( t ) ( t ) C out out out out tout tout eff Hγ = = C C == eff eff C eff = γ C eff t out γ C1 C2 C 1 C2 C + + eff t out t t t k t C k C out out out t out eff t eff H = = = k t kt kt tout Ceff kt Ceff tout kt tout Ceff Ceff kt t C k out eff = = H t γ Ceff tout kt Ceff kt Ceff coeff C 2 '(1 ) k ' eff k t t kt β α e β = kt Ceff α + (1 e kt β ' )(1 α) 8

9 Advantage (Cont d)! This coefficient is always less than 1. Therefore our output transition time equation is less sensitive to parameter error 0.2 coeff α 1 4 β' Gate Propagation Delay Algorithm: RC Load Draw_for_RCπ_Load (T in, Load Parameters) 1. For α=10, 50, and 90 do Find_Transition_Point (T in, C 1, R π, C 2, Table (50%-α%),Table(k t )) 2. Draw output waveform according to the results Find_Transition_Point (T in,c 1,C 2,R π,table(50%-α%), Table(k t )) 1. Guess an initial value for C eff 2. Compute α value (Macys notation) from the load values 3. Obtain t α from Table(50%-α%) based on values of C eff and T in 4. Compute β' from t α and load elements 5. Find k t from Table(k t ) according to α and β' 6. Calculate C eff 7. Find the new value of t α for the obtained C eff from Table(50%-α%) 8. Compare the new t α with the old t α 9. If not within acceptable tolerance, then return to step 4 until t α converges 10. Return t α 9

10 Proof of Convergence! Theorem 1: The iterative algorithm, always converges independently of the initial guess. Furthermore, its solution is unique.! Proof: Because ( β' ) ( β' ) d kt ' d kt dc e ktβ C 2 t ' 2 e k β < C2 dceff dc2 dceff dc = k ' 2 e ktβ' k ' e ktβ' tβ tβ < 1 for ( ktβ') > 0 dc eff! Therefore, d dceff ( β ') (1 ' d k t t C ) t ' 1 e k β C k 2 e β + = C2 < 1 dceff Experimental Results 10

11 Outline! Motivation! Background! Effective Capacitance Calculation! RC Loads! Coupled Capacitive Loads! Coupled RC Loads! Conclusions Problem Statement! Two CMOS drivers, a and b, are given where their corresponding input transition times are t in (a) and t in (b)! δ a and δ b denote the 50% transition points of the input waveforms of driver a and b.! There is a δ=δ b -δ a delay between their input waveforms! Furthermore, the output waveform of drivers a and b are t out (a) and t out (b), respectively.! The objective is to find the output waveforms of the two drivers. 11

12 Problem Statement (Cont d)! In fact, we must solve a nonlinear equation: ST ( in, CL, CC, Tout ) = 0 where t in( a) t out( a) T T C C a in = out L CC C t = c in( b) t = = out( b) Cb which is a daunting task. So we must look for a different approach. Miller Capacitance Based Solution! Equating the current sources in grounded capacitance and the coupling capacitance, we end up: V 1 0 C, (1 b eff a = Ca + Cc ) where Vb = Vb Vb Vth, a V 1 0 C, (1 a eff b = Cb + Cc ) where Va = Va Va V th, b where V th,a identifies the transition point of interest, say V th,a =0.5V dd and V b is the output voltage transition of driver b from when the output waveform of driver a transits from 0 to its transition point. 12

13 Gate Propagation Delay Algorithm: Coupled Capacitive Load Find_Waveforms_Capacitive_Cross_Coupled(δ(a),δ(b),t in (a), t in (b), C a, C b, C c ) 1. Guess an initial value for output capacitive load as C L (a) and C L (b) 2. t out,a =Draw_Output_Waveform(δ(a),t in (a),c L (a)) 3. t out,b =Draw_Output_Waveform(δ(b),tin(b),C L (b)) 4. Repeat until the output waveform converges For (V th,a,v th,b )={(50%,50%),(50%,90%), (90%,50%), (50%,10%), (10%,50%)} do Find_Output(t out,a,t out,b,v th,a,v th,b,c L (a), C L (b),c c ) Find_Output(t out,a,t out,b,v th,a, V th,b, C L (a), C L (b), C c ) V 1. ( ) ( ) (1 b V CLa CLa + C c ) and CLb ( ) CLb ( ) + C (1 a c ) V tha, V thb, 2. Update t out,a and t out,b 3. If t out,a and t out,b tolerance are within acceptable range, then return t out,a and t out,b 4. Find_Output (t out,a,t out,b,v th,a,v th,b,c L (a),c L (b),c c ) Proof of Convergence! Theorem 2: The Find_Waveforms_Capacitive_Cross_Coupled algorithm converges to its unique solution independently of the initial guess for the value of the effective capacitance to ground.! Proof: the algorithm can be written as : Tout = F( Tin, CL + CEFF ) Tout = F( Tin, CL + G( CC, Tout )) CEFF = G( CC, Tout )! which is equivalent to prove:! where: T out ( FT C GC T ) (, + (, )) < 1 in L C out ( + ( 1 )) ( ( )) 1 k 1 ( ) f 1 Ca Cc kg1 t kg tout a out( a) GC ( C, Tout ) = Cc FT ( in, CL Ceff ) + = 1 kg2 t out( b) kf 2 Cb + Cc 1 kg2 tout( b) 13

14 Proof of Convergence (Cont d)! Therefore, it can be inferred : Fa Fa tout( a) t out( b) ( FT ( in, CL + GC ( C, Tout ))) = T out Fb F b tout( a) tout( b) Fa Fb Fa F = b = k k C k k t t t t out( a) out( b) out( b) out( a) 2 f1 f 2 c g1 g2! The worst case values confirms above inequality, which turns out the correctness of the theorem. Experimental Results 14

15 Outline! Motivation! Background! Effective Capacitance Calculation! RC Loads! Coupled Capacitive Loads! Coupled RC Loads! Conclusions Problem Statement! Problem Statement: The problem statement is the same as the one in for coupled capacitive loads, except that the load is now the one that is depicted in following figure. We are interested in determining the output waveforms at the near ends. t in,a t out,n(a) R π,a t out,f(a) C (a,b) C 1,a C 1,c C 2,a C 2,c C (b,a) t in,b t out,n(b) R π,b t out,f(b) C 1,b C 2,b 15

16 Gate Propagation Delay Algorithm: Coupled RC Loads Find_Output_Waveforms (t in,a,t in,b, Load Parameters) 1. Model each coupling capacitance as a capacitance to ground 2. (t out,n (a),t out,f (a))=draw_for_rcπ_load(t in,a, Load Parameters) 3. (t out,n (b),t out,f (b))=draw_for_rcπ_load(t in,b, Load Parameters) 4. Repeat For (V th,a,v th,b )={(50%,50%),(50%,90%), (90%,50%), (50%,10%), (10%,50%)} do Update_Voltage_Waveforms (Voltage Waveforms, V th,a, V th,b, Load Parameters) 5. Until the output waveforms converges Update_Voltage_Waveforms (Voltage Waveforms, V th,a, V th,b, Load Parameters) 1. Update equivalent Miller capacitance values 2. Draw_for_RCπ_Load (t in,a, Load Parameters) 3. Draw_for_RCπ_Load (t in,b, Load Parameters) 4. If voltage waveforms are within acceptable tolerance, then return values 5. Update_Voltage_Waveforms (Voltage Waveforms, V th,a, V th,b, Load Parameters) Experimental Results 16

17 Conclusion! Gate delays can vary widely as a function of input slews, driver sizes, input transition time skews, and output loads.! We presented three efficient iterative algorithms with provable convergence property for calculating the effective capacitance.! The error for calculating the gate propagation delay is quite small 1-6% depending on the complexity of the load and coupling configuration. 17

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Peter Feldmann IBM T. J. Watson Research Center Yorktown Heights, NY Soroush Abbaspour, Debjit Sinha, Gregory

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

A Gate-Delay Model for High-Speed CMOS Circuits *

A Gate-Delay Model for High-Speed CMOS Circuits * Gate-Delay Model for High-Speed CMOS Circuits * Florentin Dartu, Noel Menezes, Jessica Qian, and Lawrence T Pillage Department of Electrical and Computer Engineering The University of Texas at ustin, ustin,

More information

Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits

Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits Afshin Abdollahi University of Southern California Farzan Fallah Fuitsu Laboratories of America Massoud Pedram University of Southern

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Efficient Crosstalk Estimation

Efficient Crosstalk Estimation Efficient Crosstalk Estimation Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota, Minneapolis MN 55455, USA Email: fkuhlmann,

More information

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS ) ECE 4420 Spring 2005 Page 1 FINAL EXAMINATION NAME SCORE /100 Problem 1O 2 3 4 5 6 7 Sum Points INSTRUCTIONS: This exam is closed book. You are permitted four sheets of notes (three of which are your sheets

More information

Interconnect Energy Dissipation in High-Speed ULSI Circuits

Interconnect Energy Dissipation in High-Speed ULSI Circuits Interconnect Energy Dissipation in High-Speed ULSI Circuits Payam Heydari Soroush Abbaspour and Massoud Pedram Department of Electrical Engineering and Computer Science Department of Electrical Engineering-Systems

More information

Fast Buffer Insertion Considering Process Variation

Fast Buffer Insertion Considering Process Variation Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel, Mindspeed Agenda Introduction and motivation

More information

Switching circuits: basics and switching speed

Switching circuits: basics and switching speed ECE137B notes; copyright 2018 Switching circuits: basics and switching speed Mark Rodwell, University of California, Santa Barbara Amplifiers vs. switching circuits Some transistor circuit might have V

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect ISSN -77 (Paper) ISSN -87 (Online) Vol.4, No.7, - National Conference on Emerging Trends in Electrical, Instrumentation & Communication Engineering Closed Form Expressions for Delay to Ramp Inputs for

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

Sample-and-Holds David Johns and Ken Martin University of Toronto

Sample-and-Holds David Johns and Ken Martin University of Toronto Sample-and-Holds David Johns and Ken Martin (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) slide 1 of 18 Sample-and-Hold Circuits Also called track-and-hold circuits Often needed in A/D converters

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion

Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion Amir H. Ajami, Kaustav Banerjee *, and Massoud Pedram Dept. of EE-Systems, Univ. of Southern California, os Angeles, CA 99, {aajami,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

A P-CELL APPROACH TO INTEGER GATE SIZING. A Thesis UDAY DODDANNAGARI

A P-CELL APPROACH TO INTEGER GATE SIZING. A Thesis UDAY DODDANNAGARI A P-CELL APPROACH TO INTEGER GATE SIZING A Thesis by UDAY DODDANNAGARI Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of MASTER

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Lecture 8: Combinational Circuit Design

Lecture 8: Combinational Circuit Design Lecture 8: Combinational Circuit Design Mark McDermott Electrical and Computer Engineering The University of Texas at ustin 9/5/8 Verilog to Gates module mux(input s, d0, d, output y); assign y = s? d

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 3, 2016 Combination Logic: Ratioed & Pass Logic, and Performance Lecture Outline! CMOS NOR2 Worst Case Analysis! Pass Transistor

More information

Miller Factor for Gate-Level Coupling Delay Calculation

Miller Factor for Gate-Level Coupling Delay Calculation for Gate-Level Coupling Delay Calculation Pinhong Chen Desmond A. Kirkpatrick Kurt Keutzer Dept. of EECS Intel Corp. Dept. of EECS U. C. Berkeley icroprocessor Products Group U. C. Berkeley Berkeley, CA

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Variability Aware Statistical Timing Modelling Using SPICE Simulations Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008 2 Contents List

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

An Optimum Fitting Algorithm for Generation of Reduced-Order Models

An Optimum Fitting Algorithm for Generation of Reduced-Order Models An Optimum Fitting Algorithm for Generation of Reduced-Order Models M.M. Gourary 1, S.G. Rusakov 1, S.L. Ulyanov 1, M.M. Zharov 1, B.J. Mulvaney 2 1 IPPM, Russian Academy of Sciences, Moscow 1523, e-mail:

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION Journal of Circuits, Systems, and Computers Vol. 8, No. 7 (29) 263 285 c World Scientific Publishing Company TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION GUOQING

More information

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects Lecture 5 MOS Inverter: Switching Characteristics and Interconnection Effects Introduction C load = (C gd,n + C gd,p + C db,n + C db,p ) + (C int + C g ) Lumped linear capacitance intrinsic cap. extrinsic

More information

Buffer Delay Change in the Presence of Power and Ground Noise

Buffer Delay Change in the Presence of Power and Ground Noise Buffer Delay Change in the Presence of Power and Ground Noise Lauren Hui Chen* Malgorzata Marek-Sadowska** Forrest Brewer** *Synopsys Inc. Mountain View CA USA ** Electrical and Computer Engineering Department

More information

Two-pole Analysis of Interconnection Trees *

Two-pole Analysis of Interconnection Trees * wo-pole Analysis of Interconnection rees * Andrew B. Kahng and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 90024-1596 USA Abstract We address the two-pole simulation of interconnect

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

A Delay Metric for RC Circuits based on the Weibull Distribution

A Delay Metric for RC Circuits based on the Weibull Distribution A Delay Metric for RC Circuits based on the Weibull Distribution Frank Liu, Chandramouli Kashyap and Charles J. Alpert IBM Austin, 114 Burnet Road, Austin, TX 78758 {frankliu,vchandra, calpert}@us.ibm.com

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

Hurwitz Stable Reduced Order Modeling and D2M Delay Calculation Metric Motivation Review: Moments. Content. Moments: Review. Motivation.

Hurwitz Stable Reduced Order Modeling and D2M Delay Calculation Metric Motivation Review: Moments. Content. Moments: Review. Motivation. Hurwitz Stable Reduced Order Modeling and D2M Delay Calculation Metric Motivation Review: Moments Content Zhong Wang Electrical and Computer Engineering University of Toronto Feb 13, 2002 Hurwitz Stable

More information

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure Noise and Delay Estimation for oupled R Interconnects Andrew Kahng Sudhakar Muddu and Devendra idhani Silicon Graphics Inc. Mountain iew A 9439 ULA omputer Science Department Los Angeles A 995 abk@cs.ucla.edu

More information

Assignment 3 ELEC 312/Winter 12 R.Raut, Ph.D.

Assignment 3 ELEC 312/Winter 12 R.Raut, Ph.D. Page 1 of 3 ELEC 312: ELECTRONICS II : ASSIGNMENT-3 Department of Electrical and Computer Engineering Winter 2012 1. A common-emitter amplifier that can be represented by the following equivalent circuit,

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

A Simplified Circuit to Model RC Interconnect

A Simplified Circuit to Model RC Interconnect A Simplified Circuit to Model C Interconnect Patricia enault, Pirouz Bazargan-Sabet ASIM/LIP6, rue Cuvier - Paris Abstract: In very deep submicron technologies, the parasitic capacitor and resistance can

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Zhong Wang, Jianwen Zhu Electrical and Computer Engineering 1 King s College Road University of Toronto, Ontario M5S 3G4,

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković EE M26A.:. Fall 200 Lecture 5 Logical Effort Prof. Dejan Marković ee26a@gmail.com Logical Effort Recap Normalized delay d = g h + p g is the logical effort of the gate g = C IN /C INV Inverter is sized

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * Noel Menezes, Ross Baldick, and Lawrence T. Pileggi Department of Electrical and Computer Engineering The University of

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Driver Models For Timing And Noise Analysis

Driver Models For Timing And Noise Analysis Driver Models For Timing And Noise Analysis Bogdan Tutuianu and Ross Baldick Abstract: In the recent years, the impact of noise on chip level signals has become a significant source of static timing errors.

More information

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS CHAPTER 5 CMOS DIGITAL LOGIC CIRCUITS Chapter Outline 5. CMOS Logic Gate Circuits 5. Digital Logic Inverters 5.3 The CMOS Inverter 5.4 Dynamic Operation of the CMOS Inverter 5.5 Transistor Sizing 5.6 Power

More information

DesignConEast 2005 Track 4: Power and Packaging (4-WA1)

DesignConEast 2005 Track 4: Power and Packaging (4-WA1) DesignConEast 2005 Track 4: Power and Packaging (4-WA1) Design of a Low-Power Differential Repeater Using Low-Voltage Swing and Charge Recycling Authors: Brock J. LaMeres, University of Colorado / Sunil

More information

PARALLEL DIGITAL-ANALOG CONVERTERS

PARALLEL DIGITAL-ANALOG CONVERTERS CMOS Analog IC Design Page 10.2-1 10.2 - PARALLEL DIGITAL-ANALOG CONVERTERS CLASSIFICATION OF DIGITAL-ANALOG CONVERTERS CMOS Analog IC Design Page 10.2-2 CURRENT SCALING DIGITAL-ANALOG CONVERTERS GENERAL

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

Noise and Delay Uncertainty Studies for Coupled RC Interconnects

Noise and Delay Uncertainty Studies for Coupled RC Interconnects Noise and Delay Uncertainty Studies for oupled R Interconnects Andrew B. Kahng, Sudhakar Muddu and Devendra idhani ULA omputer Science Department, Los Angeles, A 995, abk@cs.ucla.edu Silicon Graphics,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Analysis and Design of Digital Integrated Circuits (6.374) - Fall 2003 Quiz #2 Prof. Anantha Chandrakasan

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering CMOS logic gates João Canas Ferreira University of Porto Faculty of Engineering March 2016 Topics 1 General structure 2 General properties 3 Cell layout João Canas Ferreira (FEUP) CMOS logic gates March

More information