Miller Factor for Gate-Level Coupling Delay Calculation

Size: px
Start display at page:

Download "Miller Factor for Gate-Level Coupling Delay Calculation"

Transcription

1 for Gate-Level Coupling Delay Calculation Pinhong Chen Desmond A. Kirkpatrick Kurt Keutzer Dept. of EECS Intel Corp. Dept. of EECS U. C. Berkeley icroprocessor Products Group U. C. Berkeley Berkeley, CA 947, USA Hillsboro, OR 974, USA Berkeley, CA 947, USA Abstract In coupling delay computation, a iller factor of more than X may be necessary to account for active coupling capacitance when modeling the delay of deep submicron circuitry in the presence of active coupling capacitance. We propose an efficient method to estimate this factor such that the delay response of a decoupling circuit model can emulate the original coupling circuit. Under the assumptions of zero initial voltage, equal charge transfer, and :5V DD as the switching threshold voltage, an upper bound of X for maximum delay and a lower bound of -X for minimum delay can be proven. Efficient Newton-Raphson iteration is also proposed as a technique for computing the iller factor or effective capacitance. This result is highly applicable to crosstalk coupling delay calculation in deep submicron gate-level static timing analysis. Detailed analysis and approximation are presented. SPICE simulations are demonstrated to show high correlation with these approximations. Introduction Using a iller factor is a very convenient method to reduce a highly coupled circuit to a simpler decoupling circuit approximation. If a coupling capacitance is connected between two nodes such as shown in Fig., the effective coupling capacitances are equal to (, k)c and (, =k)c grounded capacitances, respectively, where the two nodes have a voltage gain k. In digital designs, (, k) is conventionally estimated V kv (-k)c V kv Figure : iller Effect Circuit (-/k)c as for the opposite direction switching between two coupling nodes, and for the same direction switching. However, more than X factor has been observed and reported[, ]. In this paper, we present a detailed analysis for how the X iller factor is not an upper bound for coupling delay calculation, and provide a more accurate method to estimate the coupling effect by a decoupling approximation. The factor can be proved at most as large as X under reasonable assumptions. A simple iterative approach and Newton-Raphson iterations are proposed to find these factors or effective capacitances. HSPICE simulations are used to demonstrate the accuracy of these factors. oreover, because the overshoot or the undershoot waveform may also affect circuit delay, a correction method is proposed to approximate the effect of overshoot or undershoot. Our method is crucial for the underlying coupling model for delay calculation in deep submicron circuitry. The iller factor can be used to approximate a coupling capacitance by two grounded capacitances so that the conventional delay calculation mechanism remains unaltered. Due to the mutual dependency between switching windows and timing delays, the static timing analysis approach has to iterate in order to calculate full chip delays in presence of crosstalk[, 4,?]. An efficient and accurate estimation of the coupling effect is crucial for fast convergence. Fixed iller factors(x for the opposite direction switching, and for the same direction switching) are not accurate enough for calculating coupling delay such as shown in this paper. These factors provide neither a bound guarantee nor a good approximation of delay under coupling. In [], the authors show X factor is not an upper bound for crosstalk delay and slew rate, but they do not provide a more accurate factor or prove a new bound to answer this question. A detailed analysis is provided in [], but it does not deal with the case of multiple aggressors. In [5], the authors present an iterative algorithm based on [6] to calculate gate delay by approximating the gate response waveform and RC interconnect response. They address how to find an effective capacitance and nonlinear driver model. This can be very accurate for waveform approximation, which is computed based on a coupled RC network and a nonlinear driver modeling. In contrast with their approach, we address how to decouple the coupling capacitance in a circuit while maintaining delay accuracy. Note that our method is independent of the driver model used. any analytical models with a linear driver resistance have been proposed such as [7, 8, 9, ]. These models are useful to analyze the crosstalk delay and noise pulse for first level screening. However, as shown in Section, the linear model may not be accurate enough due to the driver s

2 significant nonlinearity. [, ] report algorithms to calculate the coupling interconnects. Also, [] provides an industrial example how the crosstalk delay and noise are estimated. Typically, complete waveform accuracy is not required for static timing analysis. What it needs is just the accuracy up to the switching threshold point to approximate delay accurately. Therefore, we use a decoupling approximation such as shown in Fig. to emulate and match the circuit response at the switching threshold point. In addition, the decoupling factor like iller factors is very easy to use and integrate into an existing timing analysis flow. This paper is organized as follows. First, we introduce the gate driving model used in this paper, and show why superposition and the single driver resistance model are not suitable for crosstalk coupling computation. Section will discuss how to derive a iller factor for the delay calculation matched at the switching threshold point. Efficient methods to calculate the effective capacitance and the convergence issue are presented as well. Due to the overshoot/undershoot waveform or noise glitch coupled from aggressors, the initial voltage can be quite different from zero, we propose a correction factor to fix this problem in Section 4. Experimental results from HSPICE simulations are shown in Section 5. Gate Driving and Coupling odel Suppose we have a coupling circuit shown in Fig., where i i Va i Figure : Coupling Circuit we lump all the interconnect resistance of the victim as R v,all the grounded interconnect capacitance of the victim net as C v, and all the coupling capacitance as C xi. Note that we refer to these two nets one for victim and the other for aggressor just for ease of reference. The victim is what we calculate the delay for, and the aggressor is its coupling net which contributes noise. For ease of explanation, we assume a rising waveform on the victim net through out this paper. It is symmetric for the case with a falling waveform.. Nonlinearity of Driver odel any previous works propose a linear driver model[9,, 8], in which the driver is connected with a series resistance and a voltage source, i.e. a Thevenin equivalent circuit such as shown in Fig.[6, 5]. It is useful to obtain an analytical formula for delay or noise peak analysis. However, it may not be accurate. In Fig.4, we show how the driver conductance on a victim net can be nonlinear during the signal transition. It is actually not fixed over time and can vary significantly. The conductance vs. output voltage is also nonlinear, which is shown in Fig.4. Figure : Linear Driver odel mho mho.9pf.6.7pf.6.9pf.5pf...7pf.pf.5pf.8.8.pf.4.pf.4.pf ns.5.5.5Volts Figure 4: Nonlinear conductance varies during signal transition over time for different loadings. Nonlinear conductance vs. output voltage during signal transition for different loadings The nonlinear driver resistance prevents correct superposition of waveforms. In Fig.5, we show how a coupling noise can vary with different arrival times of an aggressor. As the aggressor s arrival time is varied, we calculate the coupled noise on the victim net by subtracting the original victim s waveform from the victim s coupled response. If superposition worked in these cases, the noise peaks should be just the same shape with shifted positions. However, it shows from Fig.5 that the noise peaks can vary according to the arrival time of the aggressor. Therefore, the single resistance assumption for the driver or superposition for waveform estimation may not be accurate for crosstalk delay or noise calculation. - Volts Noise ns Figure 5: Original victim waveform and varying noise due to varying aggressor arrival times We model the driver resistance as a timing-varying and voltage-dependent current source to avoid the inaccuracy of using linear driver model. Actually, our method of estimation of the iller factor is independent of the driver model used.

3 . Driver odeling First, we model the time-varying voltage-dependent current source for a victim driver. For a COS transistor, the drain current is dependent on the drain-to-source voltage V ds and gate-to-source voltage V gs. It is can be written as I ds = f(v ds ;V gs ). Since that the gate-to-source voltage depends on the input waveform, and the drain-to-source voltage is dependent on the output, we model the driving current as I(V; t). Decoupling Approximation It is usually difficult to analyze a coupling circuit like Fig.. It is desirable to replace a coupling circuit with a decoupling circuit using iller factors to multiply the decoupling capacitances. Therefore, the objective of decoupling approximation is as follows. Objective of Decoupling Approximation The victim s transition interval from to is the target transition interval we intend to approximate, and the delay value measured at of transition point(starting from zero voltage) of the decoupling circuit shown in Fig.6 should approximate the response of the original coupling circuit(fig.)., β i in Fig.6 is called a iller factor. In some cases, the initial voltage may not be zero due to +(- βi) i Figure 6: Decoupling Approximation Circuit the early arrival aggressor signal, we will defer that discussion to Section 4.. Coupling odel Combining the driver model above, the circuit equation for Fig. can be written as I(V v ;t)=( C xi +C v ) dv v dv ai, C xi : () Integrating it over the period from the rising time of the victim, t s, to the switching threshold point, t th, for the delay computation. We have Q = Z t th t s It can be simplified as I(V v (t);t) Z Z V ai = ( C xi +C v )dv v, Q = ( C xi +C v ), C xi V ai V a i C xi dv ai : () = ( C xi (, V a i )+C v ) () = ( C xi (, V a i )+C v ) : (4) Eq. (4) implies a factor, V a i =, V a i to approximate the coupling capacitance C xi, assuming equal charge transfer at this period. That is to say, we can find an aggressors voltage difference in the period of the victim s transition from to (e.g. Fig.7) to calculate the iller factor. Vai = Va V DD t τ a = slope= τ a Figure 7: V ai used to calculate the iller factor for the coupling delay. V a vs.... Bounds Assuming equal charge transfer from zero voltage to on the victim net, we have a theorem for bounds of the iller factor for coupling delay calculation. Theorem Under the assumption of zero initial voltage and = :5V DD, the maximum iller factor of the opposite direction switching is for coupling delay calculation measured at the 5% transition. The minimum iller factor is - for the same direction switching at the 5% transition. (Proof:) From Eq. (4), the bounds are easily derived. If the aggressor and the victim switch in the opposite directions, we can have an upper bound, when V ai is equal to,v DD and = :5V DD. If the aggressor and the victim switch in the same direction, we can have as lower bound -, when V ai is equal to V DD and = :5V DD. Note that can be assumed different values to account for different switching threshold points, or the point where the timing is desired to be matched.. Approach V ai is actually unknown before the coupling delay is calculated, so the iller factor, V a i cannot be estimated accurately before the delay is calculated. A simple approach can iterate on V ai until it converges. For ease of notation, we drop the index i for the following discussion wherever there is no confusion. Consider a fixed ramp V a (t) waveform on an aggressor and the victim switches at the opposite direction. V a versus the victim s ramp time,, is shown in Fig.7, where τ a is the ramp time of the aggressor. Some notations are defined as β = V a = V a,

4 the effective capacitance C ef f,whichis C ef f =(, β)c x +C v ; (5) F τv (C ef f ) be the function of effective capacitance, which is the ramp time or slew rate response at the node that the coupling delay measured and matched, and G Va ( ) be the V a function of such as shown in Fig.7. So a composite function H β (C ef f ) can be defined to compute anewβ given C ef f β = H β (C ef f ) = V a = V a = G Va ( ) = G Va (F τv (C ef f )): (6) Simple iterative approach just combines Eq. (5) and Eq. (6), i.e. Cef f =(, H β (C ef f ))C x +C v (7) to iterate until it converges. We note that the convergence rate of this approach is linear... Convergence of Approach To see how this algorithm converges, we can draw the curves for the iller factor versus the effective capacitance C ef f. Four possible curves are shown in Fig.8. The dashed line is the mapping from the iller factor calculated by Eq. (6), and the solid line is the iller factor by Eq. (5). Starting from the the solid line by Eq. (5), and then computing a new iller factor by Eq. (6) along the dashed line. This process repeats until it converges to point. In (d), there may be points the algorithm may converge to, depending on the initial point. The conservative approach is to take the initial value as to converge to the upper point. Physically, this condition corresponds to a very weak victim driver and a very sharp aggressor transition. ost of the cases result in a spike, which is not easy to approximate by this method.. Newton-Raphson Iteration for The convergence rate can be improved by using Newton- Raphson iteration. The key is to compute the derivative of β. By using Eq. (7), Newton-Raphson iteration is set to find the root of f(c ef f )=(, H β (C ef f ))C x +C v,c ef f = : The derivative of H β (C ef f ) is H β(c ef f ) = G V a ( )F (C ef f ) (8) = d V a d ; (9) d dc ef f where F (C ef f ) can be found by a simple table lookup from conventional cell characterization data. The Newton-Raphson iteration can be therefore written as C ef f = C ef f, (, H β(c ef f ))C x +C v,c ef f,c x H β (C ef f), : () Note that if Hβ (β) is equal to, simplifying Eq. (), we have C ef f =(, H β (C ef f ))C x +C v : + + (c) (d) + + Figure 8: iller factor vs. effective capacitance, assuming = = :5V DD iller factor equal to, Fig.8, and (c) can converge to point. The algorithm is equivalent to starting from an initial iller factor, mapping it to an effective capacitance along This is equivalent to the simple iterative approach from Eq. (7). The strength of this approach is the quadratic convergence rate and the convergent initial value is easy to find since the value of β is between - to if = :5V DD, and hence the value of C ef f is between,c x +C v and C x +C v. Note that strictly, G Va does not only depend on but also depends on the relative delay between the aggressor and the victim, which is in turn dependent on C ef f. There is no explicit analytic formula available to accurately describe the relationship. Conventionally, F τv (C ef f ) is described by a two dimensional table pre-characterized for the driving cells. However, the derivative can be relaxed by finite difference approximation. Empirically, the derivative function, H β (C ef f) can be well approximated by the finite difference since the original function H β (C ef f ) is very close to a linear function. The number of iterations vs. the ratio of the coupling capacitance to the grounded capacitance for two approaches are compared and shown in Fig.9, where the convergence criterion is such that the relative error is less than,4. The peak in Fig.9 is a case for which simple iterative approach takes 4

5 45 #iterations Newton-Raphson Figure 9: Comparison of the number of iterations of Simple Iterative Approach and Newton-Raphson iteration iterations to converge. The trace for both approaches for this special case is shown in Fig.. It shows that the simple iterative approach has to iterate more steps around the convergent point, while Newton-Raphson takes fewer steps to converge Newton-Raphson =(- )+ β pf Figure : A case for Approach to take 4 iterations to converge..4 ultiple s for ultiple Coupling Nets For multiple nets coupling together, simple iterative approach becomes C i ef f = k (, H i β k (C ef f ))C i x k +C i v : () However, multiple C ef f values can be found in a single Newton-Raphson run. There is one C ef f for each coupling net. Once C ef f is known, V ai can be computed, and hence the iller factors. Compared with the approach in [5], our formulation needs just one equation per node. The matrix used is simpler and the initial vector is easier to find, i.e. C ef f is between,c x +C v to C x +C v if = :5V DD. We address how to use a decoupling circuit to emulate the original one, while [5] address how to find C ef f and the gate delay. Our model is independent of the driver model used. In reality, the gate driver model and RC interconnect delay are encapsulated in the function F τv (C ef f ),wherec ef f and are the effective capacitance and ramp time, respectively, at the node where the coupling delay should be matched. For completeness of this paper, we derive the Newton- Raphson iteration equations in the following. Suppose we have f i (C ef f )= (, Hβ i k (C ef f )) i k + i,ci ef f = k set to find the roots, where the superscript i denotes the related variable at net i, and net i has net k as a coupling net with coupling capacitance i k. The partial derivative of f i (C ef f ) is f i (C ef f ) where 8 >< = >: H i β k (C ef f ),, k C i x k H i β k (C eff ) C i eff, k C i x k H i β k (C eff ) C j eff = V i a k if i = j =,C i x j H i β j (C eff ) C j eff if i 6= j = G V i (τ i a v ;τk k v) ; which is nonzero only when i = j or j = k. We test this algorithm on a case of two coupling nets with a rising ramp on both nets. The result is shown in Fig., where C v is equal to.5pf. Note that the difference between this and the previous section is that neither one of the nets has a fixed output waveform here. The simple iterative approach fails to converge within steps below the capacitance ratio.75. We also test a case with four coupling nets with a rising ramp #iterations Newton Raphson Figure : Comparison of the number of iterations for Simple Iterative Approach and Newton-Raphson Iterations with coupling nets. on each net. The result is shown in Fig...5 Slew Rate(Ramp Time) Calculation Slew rate(ramp time) is another factor that affects the delay calculation. However, if the iller factors are used to approximate the slew rate, we have to match up to the upper point of transition(typically 9% or 8% of transition) or down to the lower point of transition(typically % or % of transition). It may need more than one iller factor to calculate. The difference is just to make = :9V DD in Eq. (4) for the upper point of transition at 9% of V DD,or = :V DD for the lower point of transition at % of V DD.

6 #iterations Newton-Raphson Figure : Comparison of the number of iterations for Simple Iterative Approach and Newton-Raphson Iterations with 4 coupling nets. 4 Nonzero Initial Voltage Correction Some waveforms may not start from zero voltage. This leads another source of errors for decoupling approximation. We show how to correct this problem in this section. 4. Glitch Waveform Approximation We first consider a case when an aggressor is making transition before a victim is such that an undershoot waveform occurs on the victim net such as shown in Fig.. The vic- R Figure : Undershoot Waveform Undershoot Circuit tim s initial voltage being zero is not exactly accurate due to the glitch coupled from the aggressor. Consider a falling aggressor with a ramp waveform. A simplified model is used as shown in Fig.. We have V v d +C R + x R v (V dv v v,v a )+C v = : by averaging ap- Assume V a =(, t=τ a )V DD and dv v proximation. It can be rewritten as V v = = V v t V v +C R + x ( V v + V DD V v )+C v = R v t τ a t Va, C x τ a V DD t t=(r + R v )+C x +C v ; t τ a : () If multiple aggressors are present, and the initial voltage of V v is nonzero V v, we can extend it as V v = δii,t τ ai V DD +( C xi +C v ) () t=(r + R v )+ C xi +C v where δ i is if the aggressor is falling, or - if the aggressor is rising, and zero, otherwise. This equation also applies when multiple aggressors join in different time points. It also applies when all aggressors become quiet. This modeling is verified with HSPICE simulations. One example is shown in Fig.4. The victim s driver resistance is 856ohm, the aggressor has a perfect falling slope of.5ns, is.pf, is.pf, and V DD is.v. It shows that at the corners of the curve this model has some small errors. Volts SPICE Simulation Under Shoot Waveform Approximation ns Figure 4: Experiment for Undershoot odeling 5 Experimental Results We verify the estimated iller factors or decoupling approximation by HSPICE simulation on a simple circuit consisting of a fixed ramp input on an aggressor net, and a pure capacitive loading on the victim net along with some coupling capacitance. In Fig.5, we vary the aggressor s arrival time to see the effect of delay variation on the victim net. The estimated iller factor is calculated using decoupling approximation described in Section and the undershoot correction is also computed. Using this factor HSPICE simulation is performed on the decoupling circuit again to measured the delay. The same procedure is repeated for X iller factor. Our method(marked as decoupling approximation) closely follows the original coupling circuit, while the X iller factor can be far off. Also, it shows that X is not an upper bound. In Delay(ns) X iller Decoupling Coupling Decoupling Approximation Arrival Time(ns) Figure 5: delay vs. aggressor s arrival time

7 Fig.6, we vary the aggressor s ramp time to see the effect of delay variation on the victim net. Our method (marked as decoupling approximation) closely follows the original coupling circuit, while the X iller factor can be far off. Typical Delay X iller Decoupling Coupling Decoupling Approximation Ramp Time(ns) Figure 6: s delay vs. aggressor s ramp time waveform response is shown in Fig.7. volts X Coupling Output Decoupling Approximation ns Figure 7: Example of waveform response 6 Conclusion We propose a simple and accurate method to estimate the iller factor for approximating a coupling circuit by a decoupling circuit. It is well-suited for coupling delay calculation in very deep submicron designs. An efficient Newton-Raphson method is proposed to find the iller factors or effective capacitance. Besides, we prove an upper bound of X for opposite direction switching, and a lower bound of -X for same direction switching. The conventional X factor is shown as clearly not a bound and can be very inaccurate for coupling delay calculation. References [] G. Yee, R. Chandra, V. Ganesan, and C. Sechen. Wire Delay in the Presence of Crosstalk. In IEEE/AC International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, pages 7 75, 997. [] A. B. Kahng, S. uddu, and E. Sarto. On Switch Factor Based Analysis of Coupled RC Interconnects. In Design Automation Conference, pages 79 84,. [] B. Franzini, C. Forzan, D. Pandini, P. Scandolara, and A. D. Fabbro. Crosstalk Aware Static Timing Analysis:a Two Step Approach. In IEEE of st International Symposium on Quality Electronic Design, pages 499 5, ar.. [4] P. F. Tehrani, S. W. Chyou, and U. Ekambaram. Deep Sub-icron Static Timing Analysis in Presence of Crosstalk. In IEEE of st International Symposium on Quality Electronic Design, pages 55 5, ar.. [5] F. Dartu and L. T. Pileggi. Calculating Worst-Case Gate Delays Due to Dominant Capacitance Coupling. In Proc. of 4th AC/IEEE Design Automation Conference, Jun [6] F. Dartu, N. enezes, and L. T. Pileggi. Performance computation for precharacterized COS gates with RCloads. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 5:544 55, ay 996. [7] A. B. Kahng, S. uddu, and D. Vidhani. Noise and Delay Estimation for Coupled RC Interconnects. In IEEE AISC/SoC, ar [8]. Becer and I. N. Hajj. An Analytical odel for Delay and Crosstalk Estimation with Application to Decoupling. In IEEE of st International Symposium on Quality Electronic Design, pages 5 57, ar.. [9] W. Chen, S. K. Gupta, and. A. Breuer. Analytic odels for Crosstalk Delay and Pulse Analysis Under Non- Ideal Inputs. In International Test Conference, pages 89 88, Nov [] T. Xiao and. arek-sadowska. Efficient Delay Calculation in Presence of Crosstalk. In IEEE of st International Symposium on Quality Electronic Design, pages , ar.. [] K. L. Shepard, V. Narayanan, P.C. Elmendor, and Gutuan Zheng. Global Harmony: Coupled Noise Analysis for Full-Chip RC Interconnect Network. In Proc. of International Conference on Computer Aided Design, pages 9 46, 997. [] A. Devgan. Efficient Coupled Noise Estimation for On- Chip Interconnects. In Proc. of International Conference on Computer Aided Design, pages 47 5, 997. [] K. Aringaran, F. Klass, C.. Kim, C. Amir, J. itra, E. You, J. ohd, and S. K. Dong. Coupling Noise Analysis for VLSI and ULSI Circuits. In IEEE of st International Symposium on Quality Electronic Design, pages , ar..

Noise and Delay Uncertainty Studies for Coupled RC Interconnects

Noise and Delay Uncertainty Studies for Coupled RC Interconnects Noise and Delay Uncertainty Studies for oupled R Interconnects Andrew B. Kahng, Sudhakar Muddu and Devendra idhani ULA omputer Science Department, Los Angeles, A 995, abk@cs.ucla.edu Silicon Graphics,

More information

Driver Models For Timing And Noise Analysis

Driver Models For Timing And Noise Analysis Driver Models For Timing And Noise Analysis Bogdan Tutuianu and Ross Baldick Abstract: In the recent years, the impact of noise on chip level signals has become a significant source of static timing errors.

More information

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure Noise and Delay Estimation for oupled R Interconnects Andrew Kahng Sudhakar Muddu and Devendra idhani Silicon Graphics Inc. Mountain iew A 9439 ULA omputer Science Department Los Angeles A 995 abk@cs.ucla.edu

More information

Efficient Crosstalk Estimation

Efficient Crosstalk Estimation Efficient Crosstalk Estimation Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota, Minneapolis MN 55455, USA Email: fkuhlmann,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Peter Feldmann IBM T. J. Watson Research Center Yorktown Heights, NY Soroush Abbaspour, Debjit Sinha, Gregory

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Predicting Short Circuit Power From Timing Models

Predicting Short Circuit Power From Timing Models Predicting Short Circuit Power From Timing Models Emrah Acar, Ravishankar Arunachalam* and Sani R. Nassif IBM Research, Austin *IBM Corporation (emrah, ravaru, nassif)@us.ibm.com 11501 Burnet Rd. Austin,

More information

A Simplified Circuit to Model RC Interconnect

A Simplified Circuit to Model RC Interconnect A Simplified Circuit to Model C Interconnect Patricia enault, Pirouz Bazargan-Sabet ASIM/LIP6, rue Cuvier - Paris Abstract: In very deep submicron technologies, the parasitic capacitor and resistance can

More information

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 205 Midterm Wednesday, November 4 Point values

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

Robust Analytical Gate Delay Modeling for Low Voltage Circuits

Robust Analytical Gate Delay Modeling for Low Voltage Circuits Robust Analytical Gate Delay Modeling for Low Voltage Circuits Anand Ramalingam, Sreeumar V. Kodaara, Anirudh Devgan, and David Z. Pan Department of Electrical and Computer Engineering, The University

More information

AN B. Basic PCB traces transmission line effects causing signal integrity degradation simulation using Altium DXP version 6.

AN B. Basic PCB traces transmission line effects causing signal integrity degradation simulation using Altium DXP version 6. AN200805-01B Basic PCB traces transmission line effects causing signal integrity degradation simulation using Altium DXP version 6.9 By Denis Lachapelle eng. and Anne Marie Coutu. May 2008 The objective

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

Buffer Delay Change in the Presence of Power and Ground Noise

Buffer Delay Change in the Presence of Power and Ground Noise Buffer Delay Change in the Presence of Power and Ground Noise Lauren Hui Chen* Malgorzata Marek-Sadowska** Forrest Brewer** *Synopsys Inc. Mountain View CA USA ** Electrical and Computer Engineering Department

More information

Switching Activity Calculation of VLSI Adders

Switching Activity Calculation of VLSI Adders Switching Activity Calculation of VLSI Adders Dursun Baran, Mustafa Aktan, Hossein Karimiyan and Vojin G. Oklobdzija School of Electrical and Computer Engineering The University of Texas at Dallas, Richardson,

More information

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS by Ravikishore Gandikota A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

Analysis of MOS Cross-Coupled LC-Tank Oscillators using Short-Channel Device Equations

Analysis of MOS Cross-Coupled LC-Tank Oscillators using Short-Channel Device Equations Analysis of MOS Cross-Coupled C-Tank Oscillators using Short-Channel Device Equations Makram M. Mansour Mohammad M. Mansour Amit Mehrotra Berkeley Design Automation American University of Beirut University

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Circuits & Electronics Spring 2007.

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Circuits & Electronics Spring 2007. Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.002 Circuits & Electronics Spring 2007 Quiz #2 25 April 2007 Name: There are 20 pages in this quiz, including

More information

Switching circuits: basics and switching speed

Switching circuits: basics and switching speed ECE137B notes; copyright 2018 Switching circuits: basics and switching speed Mark Rodwell, University of California, Santa Barbara Amplifiers vs. switching circuits Some transistor circuit might have V

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Zhong Wang, Jianwen Zhu Electrical and Computer Engineering 1 King s College Road University of Toronto, Ontario M5S 3G4,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations Chinese Journal of Electronics Vol.4, No.1, Jan. 015 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations LI Jianwei 1,,DONGGang 3, WANG Zeng 4 and YE Xiaochun (1.Faculty

More information

% of victim nets. Ratio of x cap to g cap

% of victim nets. Ratio of x cap to g cap Pessimism Reduction in Coupling-Aware Static Timing Analysis Using Timing and Logic Filtering Debasish Das, Kip Killpack*, Chandramouli Kashyap*, Abhijit Jas, Hai Zhou EECS, Northwestern University, Evanston,

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

The Effect of Signal Activity on Propagation Delay of CMOS Logic Gates Driving Coupled On-Chip Interconnections

The Effect of Signal Activity on Propagation Delay of CMOS Logic Gates Driving Coupled On-Chip Interconnections C Analog Integrated Circuits and Signal Processing, 3, 09 4, 00 00 Kluwer Academic Publishers. Manufactured in The Netherlands. The Effect of Signal Activity on Propagation Delay of CMOS Logic Gates Driving

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Zhangcai Huang, Hong Yu, Atsushi Kurokawa and Yasuaki Inoue Graduate School of Information, Production and Systems, Waseda University,

More information

Delay Modelling Improvement for Low Voltage Applications

Delay Modelling Improvement for Low Voltage Applications Delay Modelling Improvement for Low Voltage Applications J.M. Daga, M. Robert and D. Auvergne Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier LIRMM UMR CNRS 9928 Univ. Montpellier

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

A Gate-Delay Model for High-Speed CMOS Circuits *

A Gate-Delay Model for High-Speed CMOS Circuits * Gate-Delay Model for High-Speed CMOS Circuits * Florentin Dartu, Noel Menezes, Jessica Qian, and Lawrence T Pillage Department of Electrical and Computer Engineering The University of Texas at ustin, ustin,

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

On Bounding the Delay of a Critical Path

On Bounding the Delay of a Critical Path On Bounding the Delay of a Critical Path Leonard Lee lylee@ece.ucsb.edu Li-C. Wang licwang@ece.ucsb.edu Department of Electrical and Computer Engineering University of California - Santa Barbara Santa

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect ISSN -77 (Paper) ISSN -87 (Online) Vol.4, No.7, - National Conference on Emerging Trends in Electrical, Instrumentation & Communication Engineering Closed Form Expressions for Delay to Ramp Inputs for

More information

ECE414/514 Electronics Packaging Spring 2012 Lecture 6 Electrical D: Transmission lines (Crosstalk) Lecture topics

ECE414/514 Electronics Packaging Spring 2012 Lecture 6 Electrical D: Transmission lines (Crosstalk) Lecture topics ECE414/514 Electronics Packaging Spring 2012 Lecture 6 Electrical D: Transmission lines (Crosstalk) James E. Morris Dept of Electrical & Computer Engineering Portland State University 1 Lecture topics

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

N-Channel Enhancement-Mode Vertical DMOS FET

N-Channel Enhancement-Mode Vertical DMOS FET N-Channel Enhancement-Mode Vertical DMOS FET Features Free from secondary breakdown Low power drive requirement Ease of paralleling Low C ISS and fast switching speeds Excellent thermal stability Integral

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION Journal of Circuits, Systems, and Computers Vol. 8, No. 7 (29) 263 285 c World Scientific Publishing Company TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION GUOQING

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

MOSFET and CMOS Gate. Copy Right by Wentai Liu

MOSFET and CMOS Gate. Copy Right by Wentai Liu MOSFET and CMOS Gate CMOS Inverter DC Analysis - Voltage Transfer Curve (VTC) Find (1) (2) (3) (4) (5) (6) V OH min, V V OL min, V V IH min, V V IL min, V OHmax OLmax IHmax ILmax NM L = V ILmax V OL max

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng EE59 Spring Parallel VLSI CAD Algorithms Lecture 5 IC interconnect model order reduction Zhuo Feng 5. Z. Feng MU EE59 In theory we can apply moment matching for any order of approximation But in practice

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

Designing Information Devices and Systems II Fall 2017 Miki Lustig and Michel Maharbiz Homework 1. This homework is due September 5, 2017, at 11:59AM.

Designing Information Devices and Systems II Fall 2017 Miki Lustig and Michel Maharbiz Homework 1. This homework is due September 5, 2017, at 11:59AM. EECS 16 Designing Information Devices and Systems II Fall 017 Miki Lustig and Michel Maharbiz Homework 1 This homework is due September 5, 017, at 11:59M. 1. Fundamental Theorem of Solutions to Differential

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

POLYTECHNIC UNIVERSITY Electrical Engineering Department. EE SOPHOMORE LABORATORY Experiment 2 DC circuits and network theorems

POLYTECHNIC UNIVERSITY Electrical Engineering Department. EE SOPHOMORE LABORATORY Experiment 2 DC circuits and network theorems POLYTECHNIC UNIVERSITY Electrical Engineering Department EE SOPHOMORE LABORATORY Experiment 2 DC circuits and network theorems Modified for Physics 18, Brooklyn College I. Overview of Experiment In this

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Dynamic Models for Passive Components

Dynamic Models for Passive Components PCB Design 007 QuietPower columns Dynamic Models for Passive Components Istvan Novak, Oracle, February 2016 A year ago the QuietPower column [1] described the possible large loss of capacitance in Multi-Layer

More information

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * Noel Menezes, Ross Baldick, and Lawrence T. Pileggi Department of Electrical and Computer Engineering The University of

More information

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 Digital Integrated Circuits (83-313) Lecture 5: Interconnect Semester B, 2015-16 Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 What will we learn today? 1 A First Glance at Interconnect 2 3

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #2 EECS141 Due Thursday, September 9, 5pm, box in 240 Cory PROBLEM

More information

N-Channel Enhancement-Mode Vertical DMOS FET

N-Channel Enhancement-Mode Vertical DMOS FET N-Channel Enhancement-Mode Vertical DMOS FET Features Free from secondary breakdown Low power drive requirement Ease of paralleling Low C ISS and fast switching speeds Excellent thermal stability Integral

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS CHAPTER 5 CMOS DIGITAL LOGIC CIRCUITS Chapter Outline 5. CMOS Logic Gate Circuits 5. Digital Logic Inverters 5.3 The CMOS Inverter 5.4 Dynamic Operation of the CMOS Inverter 5.5 Transistor Sizing 5.6 Power

More information

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter ECE 438: Digital Integrated Circuits Assignment #4 The Inverter Text: Chapter 5, Digital Integrated Circuits 2 nd Ed, Rabaey 1) Consider the CMOS inverter circuit in Figure P1 with the following parameters.

More information

Over Current Protection Circuits Voltage controlled DC-AC Inverters Maximum operating temperature of 175 C

Over Current Protection Circuits Voltage controlled DC-AC Inverters Maximum operating temperature of 175 C Description xj SiC Series 8mW - 12V SiC Normally-On JFET UJN128Z Die Form United Silicon Carbide, Inc offers the xj series of high-performance SiC normally-on JFET transistors. This series exhibits ultra-low

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Michel Nakhla Carleton University Canada Model Reduction for Complex Dynamical Systems Berlin 2010 EMI Delay Crosstalk Reflection

More information

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA Gate Delay Calculation Considering the Crosstalk Capacitances Soroush Abbaspour and Massoud Pedram University of Southern California Los Angeles CA Asia and South Pacific Design Automation Conference 2004

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Over current protection circuits Voltage controlled DC-AC inverters Maximum operating temperature of 175 C

Over current protection circuits Voltage controlled DC-AC inverters Maximum operating temperature of 175 C Description United Silicon Carbide, Inc offers the high-performance G3 SiC normallyon JFET transistors. This series exhibits ultra-low on resistance (R DS(ON) ) and gate charge (Q G ) allowing for low

More information

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018 ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 11: Dynamic CMOS Circuits ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ (ttheocharides@ucy.ac.cy) (ack: Prof. Mary Jane Irwin and Vijay Narayanan) [Προσαρμογή από

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

CMOS Comparators. Kyungpook National University. Integrated Systems Lab, Kyungpook National University. Comparators

CMOS Comparators. Kyungpook National University. Integrated Systems Lab, Kyungpook National University. Comparators IsLab Analog Integrated ircuit Design OMP-21 MOS omparators כ Kyungpook National University IsLab Analog Integrated ircuit Design OMP-1 omparators A comparator is used to detect whether a signal is greater

More information

Chapter 5 MOSFET Theory for Submicron Technology

Chapter 5 MOSFET Theory for Submicron Technology Chapter 5 MOSFET Theory for Submicron Technology Short channel effects Other small geometry effects Parasitic components Velocity saturation/overshoot Hot carrier effects ** Majority of these notes are

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate

Lecture 11 VTCs and Delay. No lab today, Mon., Tues. Labs restart next week. Midterm #1 Tues. Oct. 7 th, 6:30-8:00pm in 105 Northgate EE4-Fall 2008 Digital Integrated Circuits Lecture VTCs and Delay Lecture # Announcements No lab today, Mon., Tues. Labs restart next week Midterm # Tues. Oct. 7 th, 6:30-8:00pm in 05 Northgate Exam is

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

Over current protection circuits Voltage controlled DC-AC inverters Maximum operating temperature of 175 C

Over current protection circuits Voltage controlled DC-AC inverters Maximum operating temperature of 175 C Description United Silicon Carbide, Inc offers the high-performance G3 SiC normallyon JFET transistors. This series exhibits ultra-low on resistance (R DS(ON) ) and gate charge (Q G ) allowing for low

More information

Over current protection circuits Voltage controlled DC-AC inverters Maximum operating temperature of 175 C

Over current protection circuits Voltage controlled DC-AC inverters Maximum operating temperature of 175 C Description United Silicon Carbide, Inc offers the high-performance G3 SiC normallyon JFET transistors. This series exhibits ultra-low on resistance (R DS(ON) ) and gate charge (Q G ) allowing for low

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The July 30, 2002 1 Goal of this chapter Present intuitive understanding of device operation Introduction

More information