Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects

Size: px
Start display at page:

Download "Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects"

Transcription

1 Volume 118 No , ISSN: (printed version); ISSN: (on-line version) url: ijpam.eu Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects 1 C. Venkataiah, K.Satyaprasad and 3 T. Jayachandra Prasad 1 RGMCET, JNTUK, Kakinada, A.P, India. venki.challa@gmail.com JNTUK, Kakinada, A.P., India. prasad_kodati@yahoo.co.in 3 RGMCET, Nandyal, A.P., India. jp.talari@gmail.com Abstract In VLSI sub-micron interconnects, the internal parasitic creates the problems like power dissipation and propagation delay along the line.thisproblems leads the motivation towards design of high speed and low power interconnects. The present work reflects the impact of threshold and supply rail scaling on power dissipation and delay in interconnects. Further, repeater insertion leads to delay minimization in global interconnects, but consequently power and area will increase. Therefore, the best substitute technique is supply rails scaling, thatreduces the power dissipation and number of repeater requirement for reduction of delay in global interconnects. Thus, the cirit performance parameters such as power and delay are analyzedby the insertion of voltage scaled repeaters in copper and CNT interconnects.it is observed that CNT interconnects provides better performance than copper in terms of power and delay. All the simulation has done at 3nm technology node. Key Words:Copper (Cu), carbon nanotube (CNT), global interconnects, repeaters. 117

2 1. Introduction In recent days, it is much needed to deal with low power and high speed devices in integrated cirit, but in deep submicron technology interconnect performance is important parameter than cirit performance. In lower technologies, the delay in interconnects is due to parasitic elements and it is dominant than gate delays [1]. The propagation delay in interconnects is mainly due to resistance of the interconnect material. In practice, interconnect not only contains resistors but also contains parasitic inductance and capacitance []. Based on functionality, the chip size and density is increased as a result the resistance and capacitance of interconnects also increases. In digital systems, many methods are proposed to achieve low power dissipation from process level to algorithm level. In lowering the power consumption and delay, device characteristics and interconnect properties are the key constraints to deal with. Further, cirit design styles, scaling in power supply and threshold voltages are also considered as to reduce power consumption at lower transistor level [3-5]. Architecture-level measures include smart power management of various system blocks, utilization of pipelining and parallelism, and design of bus structures [4]. In this paper, a voltage scaling method has done with number of repeaters for reduction of power and delay and simulation has observed with different interconnect materials such as copper and CNT. Basically interconnects are used in routing the clock and process the logic signal to various other blocks. Interconnects are classified based on its parasitic elements and length such as local, global and semi global interconnects [6]. Local interconnects are very small in size, having less parasitics and cover small distance in the chip. Semi-global are large than local interconnects and they provide high parasitic than local. This are basically used to connect input and output ciritry with large modules. Global interconnects are the one provides larger parasitics because of large in size and generally used to route the clock, power supply and other long distance communications in various functional blocks. Normally used interconnect materials are copper and aluminium because they have high melting point, low resistivity, ease of deposition and good adhesion to dielectrics.as technology is scaling down the resistivity of copper also increases and this imparts propagation delay on the line. Therefore, the better alternative to copper as the size shrinking is done is carbon nanotube (CNT). CNT are the most promising materials used to work in high speed and low power interconnects. These are classified as Single-walled Carbon Nanotubes (SWCNTs) and Multi-walled Carbon Nanotubes (MWCNTs). Generally, a single SWCNT has large resistance due to its high quantum resistance and not suitable for interconnect applications. Hence, a bundle of SWCNT interconnects are best suit for dealing with high speed interconnects. SWCNT interconnects achieve better performance than copper interconnects in IC technology [7-9]. 118

3 In copper and CNT interconnects, repeaters are used at intermediate and global level to reduce time delays. One observation is done on using CNT interconnects, that total time delay is reduced by larger extent when compared to copper interconnects and total repeater requirement also diminished by one third than copper. While considering inductance effect, the time delay dependency is super-linear on interconnect length [10-1]. In this paper, repeaters are inserted in CNT interconnects to reduce time delays and repeaters are assumed to be CMOS inverters. The rest of the paper is organized as follows, section deals with extraction of RLC parameters and its cirit simulations. The performance analysis to minimize delay and power is disssed in section 3. Finally section 4 concludes the work.. RLC Parameter Extraction for Cu and CNT Interconnects The parameter extraction for copper and CNT is reported in following sections A) RLC Parameter Extraction for Cu Interconnect Generally, the empirical relations used to callate the parasitic values of copper interconnect based on [,13] are: The resistance of the interconnect is given by l R (1) d t The self and mutual inductance of the interconnect is given by d t. l l L () l ln d t. l w l LMCu 1 ln (3) l w The capacitances like ground capacitance and coupling capacitance are given as 3. d.3 1 h 1 0.7( h / s ) Cgd 1 1.5( h / s ) (4) ( h/ t) 119

4 Near-end Farr-end International Journal of Pure and Applied Mathematics C t s 1.07( s / h) ( s / d ) ( s / d ) ( s/ h) 0.09 (5) cc 0.16 wherer is resistance of Cu interconnect, L is the inductance of Cu wire, L MCu is mutualinductance between any two interconnects, Cgd is the ground capacitance, Ccc is the coupling capacitance, l is the length of the line, d is the line width, t is the thickness, ρ is the resistivity of copper wire, h gnd height above from ground level, spacing is s, d is diameter. B) RLC Parameter Extraction for CNT Interconnect In this work, we considered the ESC model of acnt bundle for RLC parameters and it has been reported in many works [], [14-16]. The resistance is expressed by considering the quantum resistance (R q ), contact resistance (R mc ) and scattering resistance (R). Here R is considered as line resistance, R q and R mc are considered as lumped resistances placed at the contacts terminals. R lump Rdz dz L K dz L M dz R lump C Q dz C E dz l Fig. 1: ESC Model of CNT Bundle 1 Rq R lump, ESC N 4 Rmc (6) where Rq h / e 5.8k Rq R ESC = 4N λ (7) mfp where 3 10 d mfp with T K T / T 0 10

5 whereh, e and N are the Planck s constant, electron charge and number of conducting shells in bundle respectively. The capacitance is modeled by considering the quantum capacitance (C Q ). It is given by q C Q = hvf (8) For N conducting shells, the equivalent quantum capacitance C Q,ESC is given by 4 q C Q,ESC = N hvf (9) Inductance is modeled by considering the kinetic inductance (L K ). It is given by L = K h q v F (10) For N conducting shells, the equivalent kinetic inductance (L K,ESC )is expressed as L = K,ESC 1 h (11) N 4 q v F where v F is the Fermi velocity considered as m/s for CNT. C). Repeater Insertion for Delay Minimization The CMOS repeater insertion along with the interconnect line is shown in Fig. Where the interconnect is driven by CMOS driver which is practical and nonlinear, the load is terminated with capacitance and the buffers at the intermediate stage is used to sharpen the transient time delay.the interconnect materials is basically made of copper and SWCNT. The response at the far-end is analyzed with various test cases disssed in section 3. The RLC parameters for the interconnect configuration shown in Fig is reported in section. 3. Results and Disssions In this section, a detailed analysis is reported to analyze the performance of VLSI interconnects in terms of far-end delay, crosstalk noise, power dissipation. In Fig 3(a), a transient input pulse of 0.7V is given at the input of first interconnect and at far-end of second interconnect with respect to first interconnect a noise peak is observed due to crosstalk, shown in Fig 3(a). Further, in Fig 3(b) the effect of repeater insertion on far-end delay is analyzed for both copper and CNT interconnects. V g Rct Rct Cdd z=0 SWCNT Interconnects with CMOS repeaters z=l C Ld SWCNT Interconnects Repeaters Fig. : Non-linear Driver, Interconnect with Buffer and Capacitive Load System 11

6 (a) (b) Fig. 3: (a) Crosstalk Noise on Interconnect with Respect to Interconnect 1 (b) delay Minimization Using Repeater Insertion Table 1: Far-end delay of Cu with Respect to Supply Voltage Variation for a Fixed Threshold Voltage with Repeaters Cu Delay(ps) SupplyVoltage -Rep 4-Rep 6-Rep 8-Rep 10-Rep Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Table : Far-end Delay of CNT with Respect to Supply Voltage Variation for a Fixed Threshold Voltage with Repeaters CNT Delay(ps) SupplyVoltage -Rep 4-Rep 6-Rep 8-Rep 10-Rep Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Repeaters are often used to minimize the delay to propagate a signal through the interconnect lines. The repeaters divide the interconnect into smaller subsections thus making the time delay reduction. It is worth noted that copper interconnects have high far-end delay when compared with CNT interconnects. The delay of CNT interconnects is effectively decreases on increasing the number of repeaters at the intermediate stage of the interconnects.due to the domination of interconnect delay on gate delay in the deep sub micrometer VLSI cirits there is an increase in the delay. Moreover, optimum voltage scaling is an interesting choise to work with VLSI interconnects and the effect is graphically shown in Fig 4. Where the supply voltage is scaled on absicca and delay on ordinate, as the magnitude of voltage increases there exists a drastic rate of change in far end delay and it is high for copper interconnects. However for CNT interconnects, 1

7 this effect is negligible. A clear observations is done on far-end dealy analysis for both copper and CNT interconnects with scaling in threshold is reported in table 1 and table, respectively. It is observed that, as we decrease the threshold voltage, the dealy in copper interconnect is also decreses and this shows the direct dependancy of threshold voltage on delay. Therefore, less threshlod in interconnects is an additional advantage to reduce the delay in VLSI interconnects. Fig. 4: Variation of Far-end Delay with Respect to Supply Voltage Table 3: Power Dissipation in Cu Interconnects with Respect to Supply Voltage Variation for an Arbitary threshold Voltage with Repeaters Cu Power Consumption(mW) SupplyVoltage -Rep 4-Rep 6-Rep 8-Rep 10-Rep Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Table 4: Power Dissipation in CNT Interconnects with Respect to Supply Voltage Variation for an Arbitary Threshold Voltage with Repeaters CNT Power Consumption(mW) SupplyVoltage -Rep 4-Rep 6-Rep 8-Rep 10-Rep Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Vt=0.5V Vt=0.V Fig. 5: Variation of Far-end Delay with Respect to Supply Voltage 13

8 Another important design constrait that specifies the figure of merit of an interconnect system is power dissipation. From Fig 5, it is evident that supply voltage effect on power dissiaption is high for copper interconnect and for CNT interconnect this effect is less than that of copper. Further, the power dissipation in copper interconnects is almost linear against supply, this provides the information that CNTs have very enhanced performance as supply rail concern. Further, the variation in power dissipation with respect to supply voltage for an arbitary variation in threshold voltage is reported in table 3 and table 4 for copper and CNT interconnects, respectively. 4. Conclusion The impact of threshold voltage and supply voltage scaling on power dissipation and delay in interconnects is analyzed, the tabular and graphical observations are reported. The repeater insertion at the intermediate stage is reduced the delay in global interconnects. It is proved that the best technique to reduces power dissipation is supply voltage scaling and usage of optimum number of repeaters in global interconnects. Further, the cirit performance parameters such as power and delay are also analyzed by the insertion of voltage scaled repeaters in copper and CNT interconnects. Finally, it is evident that CNT interconnects provides better performance than that of copper in terms of power and delay. References [1] Naeemi A., Sarvari R., Meindl J.D., Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI), IEEE Electron Device Letters 6() (005), [] Debaprasad Das, HafizurRahaman, Analysis of Crosstalk in Single- and Multiwall Carbon Nanotube Interconnects and Its Impact on Gate Oxide Reliability, IEEE Transactions on nanotechnology 10(6) (011). [3] Kang S.M., Yusuf Leblebici, CMOS Digital Integrated Cirits (Analysis and Design), 3rd ed. McGraw-Hill (013). [4] Michael Opoku Agyeman, Wen Zong, Alex Yakovlev, Kin-Fai Tong, TerrenceMak, Extending the Performance of Hybrid NoCs beyond the Limitations of Network Heterogeneity, J. Low Power Electron. Appl 7() (017). [5] Venkataiah C., Tejaswi M., A Comparative Study of Interconnect Cirit Techniques for Energy Efficient on-chip Interconnects, International Journal of Computer Applications 109(4) (015). [6] Rajeevan Chandel, Sarkar S., Repeater insertion in global interconnects in VLSI cirits, Emerald Group Publishing Limited, Microelectronics International (005). 14

9 [7] Li H., Xu C., Srivastava N., Banerjee K., Carbon Nanomaterials for Next-Generation Interconnects and Passives: Physics, Status and Prospects, IEEE Trans. Electron Devices 56(9) (009) , Sep [8] Venkataiah C., Satya Prasad K., Jaya Chandra Prasad T., Effect of Interconnect parasitic variations on cirit performance parameters, IEEE International conference on communication and electronics systems(016). [9] Manoj Kumar Majumder, Nisarg D. Pandya, Kaushik B.K., Manhas S.K., Analysis of MWCNT and Bundled SWCNT Interconnects: Impact on Crosstalk and Area, Electron Device Letters (01). [10] Majumder M.K., Das P.K., Kaushik B.K., Delay and crosstalk reliability issues in mixed MWCNT bundle interconnects, Microelectron. Reliab. 54(11) (014), [11] Kumar V.R., Kaushik B.K., Patnaik A., Crosstalk noise modeling of multiwall carbon nanotube (MWCNT) interconnects using finite-difference time-domain (FDTD) technique, Microelectron. Reliab. 55(1) (015), [1] Dhiman R., Chandel R., Delay analysis of buffer inserted sub threshold interconnects, Analog IntegrCirc Sig Process, Springer (016). [13] Li H., Yin W.Y., Banerjee K., Mao J.F., Cirit modelling and performance analysis of multi-walled carbon nanotube interconnects, IEEE Trans. Electron Devices 55(6) (008). [14] Srivastava N., Li H., Kreupl F., Banerjee K., On the applicability of single-walled carbon nanotubes as VLSI interconnects, IEEE Transactions on Nanotechnology 8 (009), [15] Amore M.D., Sarto M.S., Tamburrano A., Fast transient analysis of next-generation interconnects based on carbon nanotubes, IEEE Trans. Electromagnetic Compatibility 5 (010), [16] Lamberti P., Tucci V., Impact of the Variability of the Process Parameters on CNT-Based Nanointerconnects Performances: A comparison between SWCNTs bundles and MWCNT, IEEE Transactions on Nanotechnology 11 (01),

10 16

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August 15 COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS Priya Srivastav, Asst. Prof.

More information

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. G.Chitra 1, P.Murugeswari 2 1 (Post Graduate Student, VLSI Design, Theni Kammavar Sangam College of Technology, Theni, India)

More information

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS Thesis submitted in the partial fulfillment of the requirement for the award of degree of MASTER OF TECHNOLOGY IN VLSI

More information

SWCNT Based Interconnect Modeling Using Verilog-AMS

SWCNT Based Interconnect Modeling Using Verilog-AMS SW Based Interconnect odeling Using Verilog-AS Hafizur Rahaman, Debaprasad Das*, and Avishek Sinha Roy** School of VSI Technology, Bengal Engineering and Science University, Shibpur, India. Email: rahaman_h@it.becs.ac.in,

More information

Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect

Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect Gurleen Dhillon 1, Karamjit Singh 2 Student, Electronics and Communication Engineering Department, Thapar University,

More information

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834, p- ISSN: 2278-8735. Volume 4, Issue 6 (Jan. - Feb. 2013), PP 32-36 Modeling and Performance analysis of Metallic

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS

HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS ABSTRACT Nishtha Khare 1, Vangmayee Sharda 2 and Anushree 1 1 Hindustan college of science & technology, Farah, Mathura (U.P), India

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it.

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it. Session : Fundamentals by definition is the ratio of potential difference of the wire ends to the total current flowing through it. V R I E. dl L = σ E. ds A R = L σwt W H T At high frequencies, current

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Forms of Carbon Carbon atom can form several distinct types of valence

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS s and Circuits Palkesh Jain Texas Instruments, Banglore, India palkesh@ti.com D. V. Kumar, J. M. Vasi, and M. B. Patil Department

More information

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications M. C. Parameshwara 1,K.S.Shashidhara 2 and H. C. Srinivasaiah 3 1 Department of Electronics and Communication

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper)

Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper) Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper) Yuan Xie, Soumya Eachempati, Aditya Yanamandra, Vijaykrishnan Narayanan, Mary

More information

ANALYSIS OF CAPACITANCE ACROSS INTERCONNECTS OF LOW-K DIELECTRIC USED IN A DEEP SUB-MICRON CMOS TECHNOLOGY

ANALYSIS OF CAPACITANCE ACROSS INTERCONNECTS OF LOW-K DIELECTRIC USED IN A DEEP SUB-MICRON CMOS TECHNOLOGY Progress In Electromagnetics Research Letters, Vol. 1, 189 196, 2008 ANALYSIS OF CAPACITANCE ACROSS INTERCONNECTS OF LOW-K DIELECTRIC USED IN A DEEP SUB-MICRON CMOS TECHNOLOGY A. Sonanvane, B. N. Joshi,

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS CHAPTER 5 CMOS DIGITAL LOGIC CIRCUITS Chapter Outline 5. CMOS Logic Gate Circuits 5. Digital Logic Inverters 5.3 The CMOS Inverter 5.4 Dynamic Operation of the CMOS Inverter 5.5 Transistor Sizing 5.6 Power

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions 2068 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 11, NOVEMBER 2000 Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions Jeffrey A. Davis

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Are Carbon Nanotubes the Future of VLSI Interconnections?

Are Carbon Nanotubes the Future of VLSI Interconnections? Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 e-mail: {kaustav,

More information

A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube

A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube Journal of Optoelectronical Nanostructures Islamic Azad University Winter 2017 / Vol. 2, No. 4 A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube Mahdieh Nayeri 1, Peiman Keshavarzian*,1,

More information

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic

CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 07: Pass Transistor Logic [dapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey,. Chandrakasan,. Nikolic] Sp11 CMPEN 411

More information

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs Analysis of -to- Coupling with -Impedance Termination in 3D ICs Taigon Song, Chang Liu, Dae Hyun Kim, and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology, U.S.A.

More information

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations Chinese Journal of Electronics Vol.4, No.1, Jan. 015 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations LI Jianwei 1,,DONGGang 3, WANG Zeng 4 and YE Xiaochun (1.Faculty

More information

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2014 Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

More information

Lecture 14 - Digital Circuits (III) CMOS. April 1, 2003

Lecture 14 - Digital Circuits (III) CMOS. April 1, 2003 6.12 - Microelectronic Devices and Circuits - Spring 23 Lecture 14-1 Lecture 14 - Digital Circuits (III) CMOS April 1, 23 Contents: 1. Complementary MOS (CMOS) inverter: introduction 2. CMOS inverter:

More information

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 487 Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks Kevin T. Tang and Eby G. Friedman,

More information

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices? EECS 498/598: Nanocircuits and Nanoarchitectures Lecture 1: Introduction to Nanotelectronic Devices (Sept. 5) Lectures 2: ITRS Nanoelectronics Road Map (Sept 7) Lecture 3: Nanodevices; Guest Lecture by

More information

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT International Journal of Mechanical Engineering and Technology (IJMET) Volume 9, Issue 7, July 2018, pp. 345 353, Article ID: IJMET_09_07_039 Available online at http://www.iaeme.com/ijmet/issues.asp?jtype=ijmet&vtype=9&itype=7

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MSSCHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences nalysis and Design of Digital Integrated Circuits (6.374) - Fall 2003 Quiz #1 Prof. nantha Chandrakasan Student

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

NTE74HC299 Integrated Circuit TTL High Speed CMOS, 8 Bit Universal Shift Register with 3 State Output

NTE74HC299 Integrated Circuit TTL High Speed CMOS, 8 Bit Universal Shift Register with 3 State Output NTE74HC299 Integrated Circuit TTL High Speed CMOS, 8 Bit Universal Shift Register with 3 State Output Description: The NTE74HC299 is an 8 bit shift/storage register with three state bus interface capability

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 08 MOS Inverters - III Hello, and welcome to today

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 1999 Name: No. Points Score 1. 20 2. 24 3. 26 4. 20 TOTAL / 90 In recognition of and in the spirit of the Stanford University Honor Code, I

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC06 74C/CT/CU/CMOS ogic Family Specifications The IC06 74C/CT/CU/CMOS ogic Package Information The IC06 74C/CT/CU/CMOS

More information

DS0026 Dual High-Speed MOS Driver

DS0026 Dual High-Speed MOS Driver Dual High-Speed MOS Driver General Description DS0026 is a low cost monolithic high speed two phase MOS clock driver and interface circuit. Unique circuit design provides both very high speed operation

More information

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits Lec 10 Combinational CMOS Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic circuit Out In Combinational Logic circuit Out State Combinational The output is determined only by

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

Interconnect Energy Dissipation in High-Speed ULSI Circuits

Interconnect Energy Dissipation in High-Speed ULSI Circuits Interconnect Energy Dissipation in High-Speed ULSI Circuits Payam Heydari Soroush Abbaspour and Massoud Pedram Department of Electrical Engineering and Computer Science Department of Electrical Engineering-Systems

More information

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Progress In Electromagnetics Research M, Vol. 34, 171 179, 2014 Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Parsa Pirouznia * and Bahram Azizollah Ganji Abstract

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

DesignConEast 2005 Track 4: Power and Packaging (4-WA1)

DesignConEast 2005 Track 4: Power and Packaging (4-WA1) DesignConEast 2005 Track 4: Power and Packaging (4-WA1) Design of a Low-Power Differential Repeater Using Low-Voltage Swing and Charge Recycling Authors: Brock J. LaMeres, University of Colorado / Sunil

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation

Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation Journal of Materials Science and Engineering A 6 (7-8) (2016) 205-212 doi: 10.17265/2161-6213/2016.7-8.003 D DAVID PUBLISHING Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation Yaser Mohammadi

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

ECE 497 JS Lecture - 18 Impact of Scaling

ECE 497 JS Lecture - 18 Impact of Scaling ECE 497 JS Lecture - 18 Impact of Scaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 8 th Speaker: Prof.

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates B.BharathKumar 1, ShaikAsra Tabassum 2 1 Research Scholar, Dept of ECE, Lords Institute of Engineering & Technology,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC06 74C/CT/CU/CMOS ogic Family Specifications The IC06 74C/CT/CU/CMOS ogic Package Information The IC06 74C/CT/CU/CMOS

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

EECS 141 F01 Lecture 17

EECS 141 F01 Lecture 17 EECS 4 F0 Lecture 7 With major inputs/improvements From Mary-Jane Irwin (Penn State) Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND

More information