Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Size: px
Start display at page:

Download "Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations"

Transcription

1 Chinese Journal of Electronics Vol.4, No.1, Jan. 015 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations LI Jianwei 1,,DONGGang 3, WANG Zeng 4 and YE Xiaochun (1.Faculty of Automation and Information Engineering, Xi an University of Technology, Xi an 71004, China) (.State Key Laboratory of Computer Architecture, Institute of Computing Technology, Chinese Academy of Sciences, Beijing , China) (3.Microelectronics Institute, Xidian University, Xi an , China) (4.College of Physics Sicence, Qingdao University, Qingdao 66071, China) Abstract When operating frequency is over several gigahertz, the effect of inductance plays an important role and should be included for accurate and speed crosstalk noise analysis. And for new generation IC (Integrated circuit) design tools, the crosstalk noise analysis tools should consider the influence of process variations. In this paper, we propose coupled RLC crosstalk noise distributed parameter model with capacitive load termination. And we develop the framework that how to use the crosstalk noise model for process variations. Our results show that compare with HSPICE, the critical data errors of proposed model are within 1% and the relative errors occurred between calculated values for process variations and HSPICE Monte Carlo simulation values are less than 5%. The key features of the new model include: (1) The impact of inductance on crosstalk noise is considered; () The model can be used for process variations analysis; (3) The model reflect the effects of load capacitance directly; (4) The numerical inversion of Laplace transform is introduced for improving speed. So the proposed model meets the needs of future IC design both in speed and accuracy. Key words Crosstalk noise, Interconnect, Inductance, Process variation, Statistical. I. Introduction The International technology roadmap for semiconductor (ITRS) released in 011 predict that the feature size of ASIC will continue to scale down to 1nm by 00 [1]. Technology scaling deepens unresolved parasitic effects and increases the density of interconnects as well []. Some challenges will be dominant in future IC design. On the one hand, the effect of inductance plays an important role when operating frequency is over several gigahertz [3,4], and the effect can t be ignored [5,6], on the other hand, for continued scaling of feature sizes, the influence of process variations is one of the most important factors on performance of VLSI [7]. So it is important to focus on modeling the impact of process variation on interconnect performance on reliability designs and optimization designs of VLSI [,9]. At present, some research has been developed in interconnect crosstalk and process variation [10]. In Refs.[6, 11 13], some distributed RLC interconnect models were built, which include single line model and coupled line model. The expressions of these models in time domain were derived in these papers. For they are difficult to be solved, these models can t be directly used in simulation of interconnect. Furthermore, the influence of process variations is not considered in these models. In Ref.[3], the coupled RLC interconnects model with capacitance load is built. The influence of process variations is considered in this model, but the model expressions are independent of load capacitance. The model can t reflect the effects of load capacitance. And the effects of line-width variation and line-space variation on crosstalk are mutually independent, which is discrepancy with the reality. In this paper, firstly, we propose coupled RLC crosstalk noise model with capacitive load termination. The effect of capacitive load is considered in this model. The waveforms of voltage and current at any point along the couple lines can be gotten by the proposed model, which is different from lumped parameter model. Compare with HSPICE, the critical data errors of proposed model are within 1%. And then, the framework that how to use the proposed model for process variations is developed. The relative errors occurred between calculated values and HSPICE Monte Carlo simulation values are less than 5%. Furthermore, the numerical inversion of Laplace transform is introduced successfully in math operation course to improve operation speed. So the proposed model achieves Manuscript Received Apr. 013; Accepted June 013. This work is supported by the Opening Project of State Key Laboratory of Computer Architecture (No.CARCH01109), the National Natural Science Foundation of China (No ), and the Key Discipline Project in Shaanxi Province (No ).

2 4 Chinese Journal of Electronics 015 the needs both speed and accuracy for future IC design. II. Coupled Crosstalk Noise Model 1. Coupled-transmission-line model The two distributed coupled RLC lines are show in Fig.1. One line is switching and the other is quiet. The driver for aggressor line is replaced with a voltage ramp V s in series with a Thevenin resistance R tr. To simplify the calculation, our hypothesis is that victim line and aggressor line with the same structure, but the driver is modeled as the resistance connected to ground. Receivers at the far end of the lines are modeled Fig. 1. Coupled-line configuration as lumped capacitive loads C L. As shown in Fig.1, r, c g,c m,l s and l m are the line resistance, ground capacitance, coupling capacitance, self-inductance and mutual inductance per unit length of the line, respectively. At any point x along the line, V A,I A,V Q and I Q are the voltage and current waveforms on aggressor line and victim line, respectively. According to transmission line theory, the coupled-transmission-line satisfy the following set of differential equations VA VQ IA IQ = ria + lssia + lmsiq = riq + lssiq + lmsia = cgsva + cmsva cmsvq = cgsvq + cmsvq cmsva To simplify the calculation, sum-mode (V +,I +) and difference-mode (V,I ) are defined as follows V + = V A + V Q, V = V A V Q (1) I + = I A + I Q, I = I A I Q () Getting the second-order partial derivative of the first two equations in Eq.(1) and making the substitution of last two equations in Eq.(1) into them gives V + =(ls + lm)cgs V + + rc gsv + V =(l s l m)(c g +c m)s V + r(c g +c m)sv The two equations in Eq.(3) can be expressed as a unified equation. V uni =(lcs + rcs)v uni (4) where V uni,r,cand l are the sum- and difference-mode voltage, resistance, capacitance and inductance, respectively. In summode V uni = V +, c = c g, l = l s + l m and in difference-mode V uni = V, c = c g +c m, l = l s l m. (3) The general solution to Eq.(4) in the Laplace domain is V uni = C uni1e γx + C unie γx (5) where γ = lcs + rcs. So, the current in sum-mode and difference-mode is given by I uni = 1 V uni (6) (r + l)s The current in the Laplace domain can be expressed as I uni = 1 Z ( Cuni1eγx + C unie γx ) (7) r r + ls where Z = cs. So, the voltage and current in sum-mode and differencemode are given by V + = V uni(l = l s + l m,c= c g) I + = I uni(l = l s + l m,c= c g) () V = V uni(l = l s l m,c= c g +c m) I = I uni(l = l s l m,c= c g +c m) Based on the definition of sum-mode and difference-mode, the voltage and current waveforms on aggressor line and victim line are V+ + V I+ + I V A =, I A = V+ V I+ I V Q =, I Q = (9). Coupled-transmission-line model with capacitive load termination Generally, the driver and receiver for interconnect in typical CMOS design are CMOS gates. Equivalent circuit of CMOS gates mainly include output resistance (R tr), output capacitance (C p) and input capacitance (C L). In general, the percentage error caused by neglecting both C p and C L,and C L is about three times that caused by neglecting C p alone for different driver size [1]. For the increasingly prevalent CMOS technology, the development trend of output parasitic capacitance is decreases continuously. Therefore, the output capacitance of the driver is neglected in this analysis (Fig.1). The victim line and aggressor line satisfy the following set of equations under these boundary conditions x =0and x = L. V s R tri A(x =0)=V A(x =0) R tri Q(x =0)=V Q(x =0) V A(x = L) = 1 I Q(x = L) (10) V Q(x = L) = 1 I Q(x = L) On the basis of the sum-mode and difference-mode, Eq.(10) can be expressed as set of unified equations < V s R tri uni(x =0)=V uni(x =0) : V uni(x = L) = 1 (11) I uni(x = L) So C uni1 and C uni in Eq.(5) are given by C uni1 = ZV s(1 Z)e γl (R tr + Z)(1 + Z)e γl (R tr Z)(1 Z)e γl

3 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations 5 C uni = ZV s(1 + Z)e γl (R tr + Z)(1 + Z)e γl (R tr Z)(1 Z)e γl After applying these boundary conditions, the voltage and current in sum-mode and difference-mode are given by V + = C 1+e γ +x + C +e γ +x where V = C 1 e γ x + C e γ x I + = 1 ( C 1+e γ +x + C +e γ +x ) Z + I = 1 ( C 1 e γ x + C e γ x ) Z C 1+ = C uni1(z = Z +,γ = γ +) C + = C uni(z = Z +,γ = γ +) C 1 = C uni1(z = Z,γ = γ ) C = C uni(z = Z,γ = γ ) Z + = Z(l = l s + l m,c= c g) Z = Z(l = l s l m,c= c g +c m) γ + = γ(l = l s + l m,c= c g) γ = γ(l = l s l m,c= c g +c m) (1) (13) According to Eq.(9), it will be easy to get the results for the voltage and current at any position x along the victim line and aggressor line. III. Framework for Process Variations 1. Extracting parameters of process variation In general, process variations of interconnect consist of four components: metal thickness (T &T ), Inter-layer dielectric (ILD) thickness (H&H ), linewidth (W &W ) and linespace (S&S ) as shown in Fig.. Process variations of interconnect result in some changes in their electrical properties. For the structure shown in Fig., the line resistance (r), line-to-ground capacitance (c g), line-to-line capacitance (c m), self-inductance (l s) and mutual inductance (l m) per unit length can be expressed as Eq.(14) [14,15] 1 r =ρ ef f WT «c g W T = +4.0 ε ox H T H «1.773 S S H c m = T «ε ox S exp 4S S +.014H «0.574 W W S exp S «S +6H» l s = μ0 L ln π W + T «0.071 H H +.961S « W + T L «, « L (W + T )» «l m = μ0 L ln 1+ S, π S L L > S (14) Fig.. Cross-section of coupled-line Here, ρ ef f is effective resistivity. ε ox is effective dielectric constant. μ 0 is permeability of vacuum.. Statistical modeling of crosstalk noise For modeling the impact of process variability on crosstalk noise, we need to capture the effect of process variations on the electrical parameters. In order to wider applicability, the relative values are used to capture the variability of geometric dimensions instead of absolute values. So we define Δw = ΔW W, Δs = ΔS S ΔT ΔH, Δt =, Δh = T H (15) where ΔW, ΔS, ΔT and ΔH are the absolute values of the variability of geometric dimensions, and Δw, Δs, Δt and Δh are the relative values of the variability of them. So the electrical parameters, which effect by process variations can be expressed as r =[1+f r(δw, Δt)]r nom c g =[1+f cg (Δw, Δs, Δt, Δh)]c g nom c m =[1+f cm (Δw, Δs, Δt, Δh)]c m nom l s =[1+f ls (Δw, Δt)]l s nom l m =[1+f lm (Δs)]l m nom (16) Here, r nom,c g nom,c m nom,l s nom and l m nom are the electrical parameters which don t effect by process variations. f r,f cg,f cm,f ls and f lm are the relation functions of the change rates about the physical dimensions and the electrical parameters. 3. Modeling procedure In Section IV, the approach will be test on a variety of test cases. The overall modeling flow is summarized below. Need the following information: (1) A couple of wires; () Process variation in geometric dimensions. Perform the follow steps for computing crosstalk noise distribution. Step 1 Extract nominal resistance, capacitance and inductance of the couple wires (see Eq.(14)); Step Compute C nui1 and C nui, and then we can get V +,V,I + and I finally the voltage and current (V A,I A,V Q and I Q) at any point along these lines can be gotten by the numerical inversion of Laplace transform (see Eqs.(1), (13) and ()); Step 3 To speed up the computation, the relation functions f r,f cg,f cm,f ls and f lm are linear functions or quadratic functions, which can be obtained by least squares method;

4 6 Chinese Journal of Electronics 015 Step 4 Resistance, capacitance and inductance distribution as Gaussian distribution (see Eq.(16)); Step 5 Repeat Step, we can get the voltage and current distribution at any point along these lines (see Eqs.(15) and (16)). IV. Experimental Results In this section, the model proposed in this paper is verified by comparing with HSPICE. The verification is conducted in two sections: the coupled crosstalk noise model with and without the effect of process variations. The study data are derived from ITRS007 (See Table 1). And the coupled-line configuration is shown in Fig.1. For further verify the precision of the model prosed in Section II, the voltages of far end, near end and middle on the couple lines are chosen to compare with HSPICE. The results are shown in Fig.4. We can observe that the obtained data of proposed model good agreement with the simulation results of HSPICE, and the errors of critical data are within 1%.. Statistical crosstalk noise model verification In this section, we verify statistical crosstalk noise model proposed in Section III. According practice, we used the Gaussian assumption to verify the proposed model when the variations in physical dimensions are large. We consider a threesigma tolerance of 10% in W, T, H, S. Fig.4 compares the voltage waveforms distribution at far end using statistical crosstalk noise model with HSPICE Monte Carlo simulations. And the detailed quantitative comparison is listed in Table. The results show that the relative errors occurred between calculated values and HSPICE simulation values are less than 5%. V. Conclusion In this paper, we proposed coupled RLC crosstalk noise Table 1. Interconnect technology parameters [1] Technology node 45 Minimum global wiring pitch (nm) 135 Conductor effective resistivity (µω-cm) 3.10 Effective dielectric constant Coupled crosstalk noise model verification In this section, we verify the couple crosstalk noise model proposed in Section II. A couple of global wires are taken as analysis object for representativeness. Each line is 4mm long. Linewidth of both wires is 1.05µm. Both metal thickness and Inter-layer dielectric thickness are 0.55µm. And the spacing between them is 0.55µm. The output resistance is 133.3Ω, and the input capacitance is 00fF. We can get the waveforms of voltage and current at any point along these lines by the model prosed in Section II. As the distributed parameter model replaces lumped parameter model, the model has wider scope of application. And these observations are summarized in Fig.3. Fig. 4. Waveforms use HSPICE and the analytical model Fig. 3. The waveforms on aggressor line and victim line Table. Compare with HSPICE Monte Carlo simulations Peak Trough Time Voltage Time Voltage (ps) (mv) (ps) (mv) Hspice Mean Model Error (%) Hspice Max Model Error (%) Hspice Min Model Error (%) model with capacitive load termination. The proposed model is based on coupled-transmission-line theory and the impact of inductance on crosstalk noise is considered. And the proposed

5 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations 7 model is distributed parameter model, which can get the waveform of voltage and current at any point along the couple lines. In particular, we develop the framework that how to use the crosstalk noise model for process variations. Our results show that compare with HSPICE, the critical data errors of proposed model are within 1% and the relative errors occurred between calculated values for process variations and HSPICE Monte Carlo simulation values are less than 5%. To improve the speed of computation, the numerical inversion of Laplace transform is introduced. So the proposed model achieves the needs both speed and accuracy for future IC design. References [1] International technology roadmap for semiconductors (ITRS)., Interconnect, /011Chapters/011Interconnect.pdf, 01. [] A. Atghiaee and N. Masoumi, A predictive and accurate interconnect density function: The core of a novel interconnectcentric prediction engine, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol.19, No.9, pp , 011. [3] K. Agarwal, D. Sylvester and D. Blaauw, Modeling and analysis of crosstalk noise in coupled RLC interconnects, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., Vol.5, No.5, pp.9 901, 006. [4] A.G. Bouazza and B. Bouazza, Crosstalk noise and signal propagation delay analysis in submicron CMOS integrated circuits, Proc. of Sciences of Electronics, Technologies of Information and Telecommunications (SETIT), Sousse, Tunisia, pp , 01. [5] P. Livshits and S. Sofer, Aggravated electromigration of copper interconnection lines in ULSI devices due to crosstalk noise, IEEE Trans. Device and Materials Reliability, Vol.1, No., pp , 01. [6] J.A. Davis and J.D. Meindl, Compact distributed RLC interconnect models part I: Single line transient, time delay, and overshoot expressions, IEEE Trans. Electron Devices, Vol.47, No.11, pp , 000. [7] International technology roadmap for semiconductors (ITRS)., Modeling and simulation, Links/011ITRS/011Chapters/011Modeling.pdf, 01. [] P. Ke, M. Yilmaz, K. Chakrabarty and M. Tehranipoor, Crosstalk-and process variations-aware high-quality tests for small-delay defects, IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol.1, No.6, pp , 013. [9] K. Agarwal, M. Agarwal, D. Sylvester and D. Blaauw, Statistical interconnect metrics for physical-design optimization, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., Vol.5, No.7, pp.173 1, 006. [10] Z. Wang, G. Dong, Y.T. Yang and J.W. Li, Crosstalk noise voltage of coupling RC interconnects with temperature distribution, Chinese Journal of Electronics, Vol.19, No.1, pp.43 47, 010. [11] J.A. Davis and J.D. Meindl, Compact distributed RLC interconnect models part II: Coupled line transient expressions and peak crosstalk in multilevel networks, IEEE Trans. Electron Devices, Vol.47, No.11, pp.07 07, 000. [1] R. Venkatesan, J.A. Davis and J.D. Meindl, Compact distributed RLC interconnect models part III: Transients in single and coupled lines with capacitive load termination, IEEE Trans. Electron Devices, Vol.50, No.4, pp , 003. [13] R. Venkatesan, J.A. Davis and J.D. Meindl, Compact distributed RLC interconnect models part IV: Unified models for time delay, crosstalk, and repeater insertion, IEEE Trans. Electron Devices, Vol.50, No.4, pp , 003. [14] S.C. Wong, G.Y. Lee and D.J. Ma, Modeling of interconnect capacitance, delay, and crosstalk in VLSI, IEEE Trans. on Semiconductor Manufacturing, Vol.13, No.1, pp , 000. [15] X.N. Qi, G.F. Wang, Z.P. Yu, et al., On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation, Proc. IEEE Custom Integr. Circuits Conf. (CICC 000), Orlando, USA, pp , 000. LI Jianwei received the B.S., M.S. and Ph.D. degrees in microelectronics and solid state electronics from Xidian University, Xi an, China, in 001, 007 and 010, respectively. From 001 to 004, he worked at Xi an SWIP Co., Ltd., where he performed digital IC design of digital signal processor, master control unit and communication interface. Since 011, he has worked at Xi an University of Technology, His research interest is modeling of interconnect lines with process variations. ( lijw@xaut.edu.cn) DONG Gang received the B.S., M.S., and Ph.D. degrees in microelectronics and solid state electronics from Xidian University, Xi an, China, in 000, 003, and 004, respectively. Since 005, he has been with the School of Microelectronic, where he is currently an associate professor. From 00 to 009, he was with the Department of Electrical Engineering and Computer Science, Northwestern University, as a visiting scholar. His research interests include system integration technology and ICCAD. WANG Zeng received the B.S. and M.S. degrees in microelectronics and solid state electronics from Xidian University, Xi an, China, in 001 and 007, respectively. From 001 to 004, he worked at ASIC Lab of Hisense Research and Development Center, Shanghai, where he performed digital circuit design of the digital video signal processor. Since 007, he has been with the School of Microelectronic as a doctoral candidate. Since 011, he has worked at Qingdao University. His research interest is modeling of interconnect lines with temperature distribution. YE Xiaochun received the Ph.D. degree in computer science from the Institute of Computing Technology, Chinese Academy of Sciences. He is an assistant professor in the State Key Laboratory of Computer Architecture of China at ICT. His research interests include high performance processor design and parallel computing.

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions 2068 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 11, NOVEMBER 2000 Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions Jeffrey A. Davis

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Modeling and optimization of noise coupling in TSV-based 3D ICs

Modeling and optimization of noise coupling in TSV-based 3D ICs LETTER IEICE Electronics Express, Vol.11, No.20, 1 7 Modeling and optimization of noise coupling in TSV-based 3D ICs Yingbo Zhao, Yintang Yang, and Gang Dong a) School of Microelectronics, Xidian University,

More information

IN the past, circuit delay has been due mostly to transistors.

IN the past, circuit delay has been due mostly to transistors. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 3, MARCH 1998 449 Investigation of Interconnect Capacitance Characterization Using Charge-Based Capacitance Measurement (CBCM) Technique and Three-Dimensional

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. G.Chitra 1, P.Murugeswari 2 1 (Post Graduate Student, VLSI Design, Theni Kammavar Sangam College of Technology, Theni, India)

More information

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August 15 COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS Priya Srivastav, Asst. Prof.

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION Journal of Circuits, Systems, and Computers Vol. 8, No. 7 (29) 263 285 c World Scientific Publishing Company TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION GUOQING

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

Miller Factor for Gate-Level Coupling Delay Calculation

Miller Factor for Gate-Level Coupling Delay Calculation for Gate-Level Coupling Delay Calculation Pinhong Chen Desmond A. Kirkpatrick Kurt Keutzer Dept. of EECS Intel Corp. Dept. of EECS U. C. Berkeley icroprocessor Products Group U. C. Berkeley Berkeley, CA

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Zhangcai Huang, Hong Yu, Atsushi Kurokawa and Yasuaki Inoue Graduate School of Information, Production and Systems, Waseda University,

More information

Efficient Crosstalk Estimation

Efficient Crosstalk Estimation Efficient Crosstalk Estimation Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota, Minneapolis MN 55455, USA Email: fkuhlmann,

More information

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 487 Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks Kevin T. Tang and Eby G. Friedman,

More information

ECE 497 JS Lecture - 18 Impact of Scaling

ECE 497 JS Lecture - 18 Impact of Scaling ECE 497 JS Lecture - 18 Impact of Scaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 8 th Speaker: Prof.

More information

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs Analysis of -to- Coupling with -Impedance Termination in 3D ICs Taigon Song, Chang Liu, Dae Hyun Kim, and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology, U.S.A.

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion

Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion Youngmin Kim a, Dusan Petranovic b, Dennis Sylvester a a EECS, University of Michigan b Mentor Graphics 1 Outline Introduction

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it.

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it. Session : Fundamentals by definition is the ratio of potential difference of the wire ends to the total current flowing through it. V R I E. dl L = σ E. ds A R = L σwt W H T At high frequencies, current

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Scaling of Interconnections

Scaling of Interconnections EE 311 Notes/Prof Saraswat of ions of Minimum Feature size and Chip Area 10 10 4 1 pr oduction 10 3 logic 0.1 de velopment 10 2 memor y 0.01 10 1 1970 1980 1990 2000 2010 1970 1980 1990 2000 2010 Year

More information

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Progress In Electromagnetics Research M, Vol. 34, 171 179, 2014 Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Parsa Pirouznia * and Bahram Azizollah Ganji Abstract

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 1225 Full-Chip Power Supply Noise Time-Domain Numerical Modeling and Analysis for Single and Stacked ICs Li Zheng, Yang Zhang, Student

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution - Fall 2002 Lecture 25 Interconnect Effects I/O, Power Distribution Announcements Homework 9 due next Tuesday Hardware lab this week Project phase 2 due in two weeks 1 Today s Lecture Impact of interconnects»

More information

Delay and Energy Consumption Analysis of Conventional SRAM

Delay and Energy Consumption Analysis of Conventional SRAM World Academy of Science, Engineering and Technology 13 8 Delay and Energy Consumption Analysis of Conventional SAM Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, and Ali Barati Abstract

More information

SCSI Connector and Cable Modeling from TDR Measurements

SCSI Connector and Cable Modeling from TDR Measurements SCSI Connector and Cable Modeling from TDR Measurements Dima Smolyansky TDA Systems, Inc. http://www.tdasystems.com Presented at SCSI Signal Modeling Study Group Rochester, MN, December 1, 1999 Outline

More information

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis EEC 118 Lecture #16: Manufacturability Rajeevan Amirtharajah University of California, Davis Outline Finish interconnect discussion Manufacturability: Rabaey G, H (Kang & Leblebici, 14) Amirtharajah, EEC

More information

Texas Instruments Inc., Dallas TX University of Texas at Dallas, Richardson, TX Abstract. 2. Accurate Interconnect Modeling

Texas Instruments Inc., Dallas TX University of Texas at Dallas, Richardson, TX Abstract. 2. Accurate Interconnect Modeling Benchmarks for Interconnect Parasitic Resistance and Capacitance (Invited) Nagaraj NS 1, Tom Bonifield 1, Abha Singh 1, Frank Cano 1, Usha Narasimha 1, Mak Kulkarni 1, Poras Balsara 2, Cyrus Cantrell 2

More information

Noise and Delay Uncertainty Studies for Coupled RC Interconnects

Noise and Delay Uncertainty Studies for Coupled RC Interconnects Noise and Delay Uncertainty Studies for oupled R Interconnects Andrew B. Kahng, Sudhakar Muddu and Devendra idhani ULA omputer Science Department, Los Angeles, A 995, abk@cs.ucla.edu Silicon Graphics,

More information

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs Xiaoxia Wu, Wei Zhao, Mark Nakamoto, Chandra Nimmagadda,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels

Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels Sergej Deutsch and Krishnendu Chakrabarty Duke University Durham, NC 27708, USA Abstract Defects in TSVs due to fabrication

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

ECE260B CSE241A Winter Interconnects. Website:

ECE260B CSE241A Winter Interconnects. Website: ECE260B CSE241A Winter 2004 Interconnects Website: http://vlsicad.ucsd.edu/courses/ece260b-w04 ECE 260B CSE 241A Interconnects 1 Outline Interconnects Resistance Capacitance and Inductance Delay ECE 260B

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS by Ravikishore Gandikota A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

Accurate Junction Capacitance Modeling for Substrate Crosstalk Calculation

Accurate Junction Capacitance Modeling for Substrate Crosstalk Calculation Accurate Junction Capacitance Modeling for Substrate Crosstalk Calculation M. Klemme, E. Barke klemme@ims.uni-hannover.de, barke@ims.uni-hannover.de Institute of Microelectronic Systems, University of

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA Gate Delay Calculation Considering the Crosstalk Capacitances Soroush Abbaspour and Massoud Pedram University of Southern California Los Angeles CA Asia and South Pacific Design Automation Conference 2004

More information

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires EE141-Spring 2008 Digital Integrated Circuits Lecture 24: Wires 1 Announcements Hw 8 posted last graded homework Project phase II feedback to be expected anytime 2 Material Last Lecture: Wire capacitance

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

IN DEEP-SUBMICRON integrated circuits, multilevel interconnection

IN DEEP-SUBMICRON integrated circuits, multilevel interconnection IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 615 An Extraction Method to Determine Interconnect Parasitic Parameters Chuan-Jane Chao, Shyh-Chyi Wong, Member, IEEE, Ming-Jer

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Lecture 9: Interconnect

Lecture 9: Interconnect Digital Integrated Circuits (83-313) Lecture 9: Interconnect Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 23 May 2017 Disclaimer: This course was prepared, in its entirety,

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

Successive approximation time-to-digital converter based on vernier charging method

Successive approximation time-to-digital converter based on vernier charging method LETTER Successive approximation time-to-digital converter based on vernier charging method Xin-Gang Wang 1, 2, Hai-Gang Yang 1a), Fei Wang 1, and Hui-He 2 1 Institute of Electronics, Chinese Academy of

More information

Delay Modelling Improvement for Low Voltage Applications

Delay Modelling Improvement for Low Voltage Applications Delay Modelling Improvement for Low Voltage Applications J.M. Daga, M. Robert and D. Auvergne Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier LIRMM UMR CNRS 9928 Univ. Montpellier

More information

SWCNT Based Interconnect Modeling Using Verilog-AMS

SWCNT Based Interconnect Modeling Using Verilog-AMS SW Based Interconnect odeling Using Verilog-AS Hafizur Rahaman, Debaprasad Das*, and Avishek Sinha Roy** School of VSI Technology, Bengal Engineering and Science University, Shibpur, India. Email: rahaman_h@it.becs.ac.in,

More information

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Variability Aware Statistical Timing Modelling Using SPICE Simulations Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008 2 Contents List

More information

New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design

New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 23, NO. 2, MAY 2000 303 New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design Yungseon Eo, Member,

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Capacitance - 1 The parallel plate capacitor Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Charge separation in a parallel-plate capacitor causes an internal

More information

Interconnect Energy Dissipation in High-Speed ULSI Circuits

Interconnect Energy Dissipation in High-Speed ULSI Circuits Interconnect Energy Dissipation in High-Speed ULSI Circuits Payam Heydari Soroush Abbaspour and Massoud Pedram Department of Electrical Engineering and Computer Science Department of Electrical Engineering-Systems

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm

Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm Hao Zhuang 1, 2, Wenjian Yu 1 *, Gang Hu 1, Zuochang Ye 3 1 Department

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 Digital Integrated Circuits (83-313) Lecture 5: Interconnect Semester B, 2015-16 Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 What will we learn today? 1 A First Glance at Interconnect 2 3

More information

IEICE TRANS. FUNDAMENTALS, VOL.E89 A, NO.12 DECEMBER

IEICE TRANS. FUNDAMENTALS, VOL.E89 A, NO.12 DECEMBER IEICE TRANS. FUNDAMENTALS, OL.E89 A, NO.12 DECEMBER 2006 3569 PAPER Special Section on LSI Design and CAD Algorithms Simple Waveform Model of Inductive Interconnects by Delayed Quadratic Transfer Function

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1

EE213, Spr 2017 HW#3 Due: May 17 th, in class. Figure 1 RULES: Please try to work on your own. Discussion is permissible, but identical submissions are unacceptable! Please show all intermediate steps: a correct solution without an explanation will get zero

More information

DesignConEast 2005 Track 4: Power and Packaging (4-WA1)

DesignConEast 2005 Track 4: Power and Packaging (4-WA1) DesignConEast 2005 Track 4: Power and Packaging (4-WA1) Design of a Low-Power Differential Repeater Using Low-Voltage Swing and Charge Recycling Authors: Brock J. LaMeres, University of Colorado / Sunil

More information

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING THERMAL SCIENCE, Year 2017, Vol. 21, No. 4, pp. 1601-1606 1601 AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING by Kang-Jia WANG a,b, Hong-Chang

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Tuck-Boon Chan, Kwangok Jeong and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Interconnect Energy Dissipation in High-Speed ULSI Circuits

Interconnect Energy Dissipation in High-Speed ULSI Circuits Interconnect Energy Dissipation in High-Speed ULSI Circuits Payam Heydari Massoud Pedram Department of Electrical and Computer Engineering Department of Electrical Engineering-Systems University of California

More information

Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization

Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization Lei He a, Andrew B. Kahng b,c,kinghotam a andjinjunxiong a a EE Dept., University of California, Los

More information

Physical Extension of the Logical Effort Model

Physical Extension of the Logical Effort Model Physical Extension of the Logical Effort Model B. Lasbouygues, R. Wilson, P. Maurine,. Azémard, and D. Auvergne STMicroelectronics Design Department 850 rue J. Monnet, 3896, rolles, France {benoit.lasbouygues,robin.wilson}@st.com

More information

ECE 497 JS Lecture - 18 Noise in Digital Circuits

ECE 497 JS Lecture - 18 Noise in Digital Circuits ECE 497 JS Lecture - 18 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 15 th Speaker:

More information

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS Thesis submitted in the partial fulfillment of the requirement for the award of degree of MASTER OF TECHNOLOGY IN VLSI

More information

Assignment 3 ELEC 312/Winter 12 R.Raut, Ph.D.

Assignment 3 ELEC 312/Winter 12 R.Raut, Ph.D. Page 1 of 3 ELEC 312: ELECTRONICS II : ASSIGNMENT-3 Department of Electrical and Computer Engineering Winter 2012 1. A common-emitter amplifier that can be represented by the following equivalent circuit,

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

The CMOS Inverter: A First Glance

The CMOS Inverter: A First Glance The CMOS Inverter: A First Glance V DD S D V in V out C L D S CMOS Inverter N Well V DD V DD PMOS 2λ PMOS Contacts In Out In Out Metal 1 NMOS Polysilicon NMOS GND CMOS Inverter: Steady State Response V

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Parallel-Distributed Time-Domain Circuit Simulation of Power Distribution Networks with Frequency-Dependent Parameters

Parallel-Distributed Time-Domain Circuit Simulation of Power Distribution Networks with Frequency-Dependent Parameters Parallel-Distributed Time-Domain Circuit Simulation of Power Distribution Networks with Frequency-Dependent Parameters Takayuki WATANABE Yuichi TANJI Hidemasa KUBOTA Hideki ASAI School of Administration

More information

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure Noise and Delay Estimation for oupled R Interconnects Andrew Kahng Sudhakar Muddu and Devendra idhani Silicon Graphics Inc. Mountain iew A 9439 ULA omputer Science Department Los Angeles A 995 abk@cs.ucla.edu

More information

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration CPE/EE 427, CPE 527 VLSI Design I L3: Wires, Design for Speed Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-05f

More information