Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

Size: px
Start display at page:

Download "Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect."

Transcription

1 Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. G.Chitra 1, P.Murugeswari 2 1 (Post Graduate Student, VLSI Design, Theni Kammavar Sangam College of Technology, Theni, India) 2 (Academic professor, Theni Kammavar Sangam College of Technology, Theni, India) ABSTRACT Equivalent single conductance model along with simple analytical formulas for calculating different circuit parameters of zigzag MLGNR has been proposed. The results obtained from proposed model are verified against Cu, SWCNT bundle, SLGNR and MLGNR in voltage mode and current mode signaling. GNR interconnect time delay at 7nm, 10nm, 14nm technology node is calculated using proposed model.extreme reduction in power dissipation has also been justified with the results. Thus it obeys Moore s law even when technology scales into tens of nanometer. Keywords Current mode signaling, Single Walled CNT (SWCNT), Graphene Nanoribbon (GNR), Single Layer GNR (SLGNR), Power Delay Product(PDP). I. INTRODUCTION Demand of better VLSI interconnects increases nowadays. Graphene Nano ribbon is playing an important role in the area of interconnection because of its outstanding properties. Graphene Nano-ribbon is a flat two dimensional sheet. Like carbon nanotube Graphene can be conducting and semi conducting [1][2]. There are two variants of Graphene sheet namely Fig.1 (a) arm-chair GNR and (b) zigzag GNR [3]. Fig.1 (a) armchair GNR In this paper the effect of equivalent circuits of MLGNR along with DIL system have been studied in terms of propagation delay using HSPICE. The multi conductor equivalent circuit [5] carries mutual inductances and mutual capacitances along with quantum resistance, quantum capacitance, electrostatic Capacitance, kinetic inductance and electrostatic inductance. For this work contact resistance of 3.2kΩ has been considered. The type of MLGNR which has been used in this work is neutral MLGNR means with no doping and with E F = 0.4eV. The inter layer distance of 0.34 nm has been considered for the MLGNR. Mean free path equal to 419 nm has been taken in this work [6]. II. INTERCONNECT MODEL GNR interconnect can be classified as SLGNR and MLGNR interconnect. Our model takes into account the effect of carrier concentration; impurity concentration; dielectric constant and temperature. Cleaner dielectric sample will result in lower impurity concentration while dirtier samples will have higher impurity concentration. Based on the above analysis, we compute equivalent RLC parameters per unit length (p.u.l.) for SC-MLGNRs with interlayer dielectric insertion. Various interconnect performance metrics such as delay, energy delay product (EDP) and bandwidth density (BWD) are computed next. We propose a new performance metric, BWD/EDP that can be used to optimize the interconnect performance. Our analysis shows that our proposed SC-MLGNRs with interlayer dielectric insertion can outperform traditional GNR, Cu and optical interconnects. Our proposed structure can be a potential candidate for local and global interconnects applications.. On the other hand, current mode signaling scheme has been relatively less explored, and, therefore more research work in this are still required. In the CMS scheme, signal swing over the interconnect is reduced by incorporating specialized low-input impedance receiver circuits [11] [14]. CMS scheme has fundamental advantage of smaller propagation delay, higher bandwidth, and higher immunity to electrostatic discharge induced damage of MOS transistors [11],[15],[16]. (b) Zigzag GNR [4]. ISSN: Page 13

2 A. ESC MODEL The shrinkage in feature size of copper interconnect limit interconnect performance and reliability in terms of delay, power and bandwidth. Fig.2 Schematic of MLGNR interconnect architecture Fig. 3(a) Multi-conductor circuit model (b) ESC model of the MLGNR interconnect [5] Fig.4 Driver-interconnect-load system used to evaluate the performance of interconnects. Equivalent circuit of a GNR interconnect is shown in the rectangle Fig. 3 Geometries of (a) single- and (b) N-Iayer GNR interconnects, together [5] ISSN: Page 14

3 III. DEVELOPMENT OF OUR PROPOSED MODEL The components of multi conductor circuit expressed by the authors in [7][8][3] have been used in this work to calculate the values for quantum capacitance, electrostatic capacitance, kinetic inductance, electrostatic Inductance and quantum resistance. (3.8) (3.7) (3.9) R Q = (h/2q 2 )/N ch N layer = 12.λ4 KΩ/ N ch N layer (3.1) C Q = N ch N layer 4q 2 /hv f = N ch N layer * 1λ3.18 af/ m (3.2) C E = ε 0 *w/d af/ m (3.3) L K = (h/4q 2 v f )/ N ch N layer = / N ch N layer nh/ m (3.4) L E = 0 *(d/w) nh/ m where, Nch =Nch,electron + Nch,hole (3.5) N ch =Σ [1 + exp((e n,electron E F )/k B T )] -1 +Σ [1 + exp((e F - E n,hole )/k B T)] -1 (3.6) N ch = number of conducting channels in one layer, N layer= number of GNR layers, h = Plank s constant =6.626*10-34 J.s, q = electronic charge =1.6*10-19 C, v f = Fermi velocity = 8* 10 5 m/s Where is distance between two adjacent layers, 0 and ε 0 are the magnetic permeability and electrostatic permittivity of free space respectively. Also the components of single conductor circuit which has been given by Cui in [10] used in this work which are given as, R ESC = 12.λ4 KΩ/ N ch N layer Where is mean free path. L = L + L ESC K E C ESC = (C Q + C) -1 A. Copper interconnect Copper based chips are semiconductor integrated circuits, usually microprocessors, which use copper for interconnections. Since copper is a better conductor than aluminium, chips using this technology can have smaller metal components, and use less energy to pass electricity through them. Together, these effects lead to higher-performance processors. Fig 6 shows equivalent circuit model of copper interconnect [5]. (1) (3.10) (2) (3) (3.11) (4) Where R-resistance,(5) ρ-resistivity, l-length of the interconnect, w-width of the interconnect, t-thickness (thickness is determined by t=ar*w), s-spacing, L- inductance, C-capacitance, ε r - relative dielectric permittivity of copper, ε 0 - dielectric permittivity, d- distance between two layers, µ -permeability. Due to the high density interconnect the pitch (spacing between interconnects) s is assumed equal to the width of the interconnect i.e. s=w. Hence the distance between layers of interconnect d is assumed equal to be twice the interconnect width. Fig 7 The 2-D structural model of copper interconnect showing its dimensions B.SLGNR interconnect SLGNR is unzipped version of SWCNT.As compared to conventional Cu interconnects, single layer GNRs exhibit smaller capacitance due to their smaller thickness. The interconnect behavior of single layer GNR has been modelled as transmission line with RLC model as explained by authors in [4]. Fig 3.9 shows interconnect structure model of SLGNR. Fig 6 Equivalent circuit model for copper interconnect. Based on the demonstrated model, interconnect resistance R, capacitance C and inductance L can be calculated for various technology nodes. Fig 8 Equivalent RLC circuit model for SLGNR. (3.12) (3.13) (3.14) ISSN: Page 15

4 Where typical value is 20 kω. is imperfect resistance and it is is quantum resistance, is kinetic inductance, is magnetic inductance, is electrostatic capacitance, is quantum Capacitance. 22nm to 14nm delay also reduces which shows that carbon Nano structures confirm the Moore's law for future technology nodes. (3.15) = Nch* ) (3.11) (3.13) (3.16) = (3.12) = (3.14) Where is number of conducting Channels, h is Planck s constant, is Fermi Velocity, is Mean free path, =450*W, is relative permittivity, q is charge density, W is width of GNR, t is the distance between surface and Conducting material, t=1*10^-6. Here,,, and are vary with respect to length [9]. Fig 3.11 distances between surface and conducting material. ) IV. PERFORMANCE ANALYSIS Equivalent circuit are implemented with RLC values given above for GNR interconnects. Simulation result has done for 22nm, 14nm, 10nm, and 7nm technology node. The performance metrics such as power, delay, power delay product have measured from simulation results for various length of the interconnect.performance comparisons have been made between copper, SWCNT, SLGNR and MLGNR interconnects. Fig 10. Delay comparison between SWCNT Bundle and MLGNR in 14nm Technology POWER DELAY PRODUCT COMPARISONS: DELAY COMPARISONS: Figure10 and 11 shows the comparison of delay in copper Interconnect, SWCNT and MLGNR in various technology nodes (14nm, 10nm and 7nm). The scale of the delay axis is taken in logarithmic measurement. At the local and intermediate level, both SWCNT and MLGNR delay performance over the Cu interconnect. Deep analysis of the results obtained in 22nm technology node, explains that, MLGNR minimal delay compared to Cu and SWCNT. Below 22nm, Cu interconnect produces a huge delay which is Unacceptable. The ballistic transport property and large mean free path make the MLGNR and SWCNT exhibit only 0.5% and 0.7% of the delay observed in copper interconnects respectively. From Plot it is revealed that when technology scales down from Table-2. Comparison of PDP ISSN: Page 16

5 REFERENCES: BANDWIDTH Bandwidth comparison of copper, SWCNT and SLGNR for 22nm and 14nm technology nodes is shown in Table II. Bandwidth is calculated by 0.35/rise time. SLGNR have wide bandwidth compare to copper and SWCNT interconnect. SLGNR is more suitable candidate for high speed operation for future interconnects technology. Table.3 Comparison of Bandwidth [1]. A. Naeemi and J. D. Meindl, Conductance modelling for grapheme nanoribbon (GNR) Interconnects, IEEE Electron Device Lett., vol. 28,no. 5, 2007, pp [2]. A. Naeemi and J. D. Meindl, Performance benchmarking for Graphene nanoribbon, carbon nanotube, and Cu interconnects, in Proc. IEEE Int. Interconnect Technol. Conf., San Francisco, CA, 2008, pp [3]. C. Xu, H, Li, and K. Banerjee, Modelling, analysis, and design of Graphene Nano-ribbon interconnects, IEEE Trans. Electron Devices, vol. 56, no. 8, pp, 2008, , [4]. T. Ragheb and Y. Massoud, On the Modelling of Resistance in Graphene Nanoribbon (GNR) for Future Interconnect Applications, in Proc. IEEE/ACM Int. Conf. on Computer- Aided Design (ICCAD 2008), 2008, pp [5]. Y. Fang, W. Zhao, X. Wang, F. Jiang, and W. Yin, Circuit modelling of multilayer Graphene nanoribbon (MLGNR) interconnect, in IEEE Conference (APEMC), 2012 Asia-Pacific Symposium, 2012, pp [6]. L. X. Benedict, V. H. Crespi, S. G. Louie, and M. L. Cohen, Static conductivity and superconductivity of carbon nanotubes Relations between tubes and sheets, Phys. Rev. B, Condens. Matter, vol. 52, no. 20, 1995, pp [7]. M. K. Majumdar, N. Reddy K., B. K. Kaushik, and S. K. Manhas, Comparison of propagation delay in single and multi -layer Graphene nanoribbon interconnects th International conference on computers and devices for communication (CODEC), 2012, pp. 1-4, [8]. V. Kumar, S. Rakheja and A. Naeemi, Modelling and optimization for multilayer Graphene nanoribbon conductors, IEEE International Technology Conference 2011 and Materials for advance metallization (IITC/MAM), 2011, pp. 1-3, [9]. D. A. Areshkin, D. Gunlycke, and C. T. White, Ballistic transport in Graphene nanostrips in the presence of disorder: importance of edge effects, Nano letters, vol. 7, no. 1, 2007, pp [10]. J.-P, Cui, W,-S. Zhao, W.-Y. Yin and 1. Hu, Signal transmission analysis of multilayer Graphene Nano-ribbon (MLGNR) interconnects, IEEE Trans. Electromagn. Compat" vol. 53, no, 4, CONCLUSION In this paper, we have compared the performance of carbon Nano structures (such as MLGNR, SWCNT Bundle and SLGNR) with Cu interconnect for on-chip interconnects applications. Carbon Nano structures have less delay and more bandwidth when compared to Cu. SLGNR and SWCNT produces only 0.5% and 0.7% of copper delay in global interconnect level. Carbon Nano structures have much higher energy efficiency approximately 1000 times better than copper due to its Ballistic transport and excellent thermal and electrical Conductivity has less power dissipation compared than Cu from this, it has been concluded that Carbon Nano structures are suitable candidate to replace copper on-chip interconnect to hold the Moore's law for future technology nodes. ISSN: Page 17

HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS

HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS ABSTRACT Nishtha Khare 1, Vangmayee Sharda 2 and Anushree 1 1 Hindustan college of science & technology, Farah, Mathura (U.P), India

More information

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August 15 COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS Priya Srivastav, Asst. Prof.

More information

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834, p- ISSN: 2278-8735. Volume 4, Issue 6 (Jan. - Feb. 2013), PP 32-36 Modeling and Performance analysis of Metallic

More information

SWCNT Based Interconnect Modeling Using Verilog-AMS

SWCNT Based Interconnect Modeling Using Verilog-AMS SW Based Interconnect odeling Using Verilog-AS Hafizur Rahaman, Debaprasad Das*, and Avishek Sinha Roy** School of VSI Technology, Bengal Engineering and Science University, Shibpur, India. Email: rahaman_h@it.becs.ac.in,

More information

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS Thesis submitted in the partial fulfillment of the requirement for the award of degree of MASTER OF TECHNOLOGY IN VLSI

More information

Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect

Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect Gurleen Dhillon 1, Karamjit Singh 2 Student, Electronics and Communication Engineering Department, Thapar University,

More information

Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects

Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects Volume 118 No. 5 018, 117-16 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Impact of Supply and Threshold Voltage Scaling on Performance of Cu and

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Sou-Chi Chang, Rouhollah M. Iraei Vachan Kumar, Ahmet Ceyhan and Azad Naeemi School of Electrical & Computer Engineering Georgia Institute

More information

Quantized Electrical Conductance of Carbon nanotubes(cnts)

Quantized Electrical Conductance of Carbon nanotubes(cnts) Quantized Electrical Conductance of Carbon nanotubes(cnts) By Boxiao Chen PH 464: Applied Optics Instructor: Andres L arosa Abstract One of the main factors that impacts the efficiency of solar cells is

More information

Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation

Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation Journal of Materials Science and Engineering A 6 (7-8) (2016) 205-212 doi: 10.17265/2161-6213/2016.7-8.003 D DAVID PUBLISHING Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation Yaser Mohammadi

More information

GRAPHENE NANORIBBONS Nahid Shayesteh,

GRAPHENE NANORIBBONS Nahid Shayesteh, USC Department of Physics Graduate Seminar 1 GRAPHENE NANORIBBONS Nahid Shayesteh, Outlines 2 Carbon based material Discovery and innovation of graphen Graphene nanoribbons structure Application of Graphene

More information

Performance analysis of Carbon Nano Tubes

Performance analysis of Carbon Nano Tubes IOSR Journal of Engineering (IOSRJEN) ISSN: 2250-3021 Volume 2, Issue 8 (August 2012), PP 54-58 Performance analysis of Carbon Nano Tubes P.S. Raja, R.josep Daniel, Bino. N Dept. of E & I Engineering,

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

Available online at ScienceDirect. Procedia Materials Science 11 (2015 )

Available online at   ScienceDirect. Procedia Materials Science 11 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Materials Science 11 (2015 ) 407 411 5th International Biennial Conference on Ultrafine Grained and Nanostructured Materials, FGNSM15 Simulation

More information

Computational Model of Edge Effects in Graphene Nanoribbon Transistors

Computational Model of Edge Effects in Graphene Nanoribbon Transistors Nano Res (2008) 1: 395 402 DOI 10.1007/s12274-008-8039-y Research Article 00395 Computational Model of Edge Effects in Graphene Nanoribbon Transistors Pei Zhao 1, Mihir Choudhury 2, Kartik Mohanram 2,

More information

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures 1 Milad Abtin, 2 Ali Naderi 1 Department of electrical engineering, Masjed

More information

Design Of Ternary Logic Gates Using CNTFET

Design Of Ternary Logic Gates Using CNTFET International Journal of Research in Computer and Communication Technology, Vol 4, Issue 3, March -2015 ISSN (Online) 2278-5841 ISSN (Print) 2320-5156 Design Of Ternary Logic Gates Using CNTFET Aashish

More information

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M.

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M. Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M. Mominuzzaman Department of Electrical and Electronic Engineering, Bangladesh

More information

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor Bull. Mater. Sci., Vol. 39, No. 5, September 2016, pp. 1303 1309. DOI 10.1007/s12034-016-1277-9 c Indian Academy of Sciences. Understanding the effect of n-type and p-type doping in the channel of graphene

More information

An Extended Hückel Theory based Atomistic Model for Graphene Nanoelectronics

An Extended Hückel Theory based Atomistic Model for Graphene Nanoelectronics Journal of Computational Electronics X: YYY-ZZZ,? 6 Springer Science Business Media, Inc. Manufactured in The Netherlands An Extended Hückel Theory based Atomistic Model for Graphene Nanoelectronics HASSAN

More information

Nanocarbon Technology for Development of Innovative Devices

Nanocarbon Technology for Development of Innovative Devices Nanocarbon Technology for Development of Innovative Devices Shintaro Sato Daiyu Kondo Shinichi Hirose Junichi Yamaguchi Graphene, a one-atom-thick honeycomb lattice made of carbon, and a carbon nanotube,

More information

LOWER FREQUENCY LIMIT OF CARBON NANOTUBE ANTENNA

LOWER FREQUENCY LIMIT OF CARBON NANOTUBE ANTENNA Progress In Electromagnetics Research, PIER 94, 419 433, 2009 LOWER FREQUENCY LIMIT OF CARBON NANOTUBE ANTENNA A. M. Attiya Electronics Research Institute, Microwave Engineering Department El-Tahreer St.,

More information

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT International Journal of Mechanical Engineering and Technology (IJMET) Volume 9, Issue 7, July 2018, pp. 345 353, Article ID: IJMET_09_07_039 Available online at http://www.iaeme.com/ijmet/issues.asp?jtype=ijmet&vtype=9&itype=7

More information

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Forms of Carbon Carbon atom can form several distinct types of valence

More information

Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs

Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs Arthur Nieuwoudt ELEC 527 Presentation Rice University 3/13/2007 Copyright 2007 by Arthur Nieuwoudt.

More information

Projected Performance Advantage of Multilayer Graphene Nanoribbons as a Transistor Channel Material

Projected Performance Advantage of Multilayer Graphene Nanoribbons as a Transistor Channel Material 1Nano Res (2010) 3: 8 15 DOI 10.1007/s12274-010-1002-8 Research Article Projected Performance Advantage of Multilayer Graphene Nanoribbons as a Transistor Channel Material Yijian Ouyang 1 ( ), Hongjie

More information

Device Performance Analysis of Graphene Nanoribbon Field-Effect Transistor with Rare- Earth Oxide (La 2 O 3 ) Based High-k Gate Dielectric

Device Performance Analysis of Graphene Nanoribbon Field-Effect Transistor with Rare- Earth Oxide (La 2 O 3 ) Based High-k Gate Dielectric Device Performance Analysis of Graphene Nanoribbon Field-Effect Transistor with Rare- Earth Oxide (La 2 O 3 ) Based High-k Gate Dielectric M. K. Bera 1, S. P. Pandey 2, A. K. Sharma 3, D. K. Tyagi 4, R.

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions 2068 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 11, NOVEMBER 2000 Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions Jeffrey A. Davis

More information

Scaling and Evaluation of Carbon Nanotube Interconnects for VLSI Applications

Scaling and Evaluation of Carbon Nanotube Interconnects for VLSI Applications Scaling and Evaluation of Carbon Nanotube Interconnects for VLSI Applications Fred Chen, Ajay Joshi, Vladimir Stojanović, Anantha Chandrakasan Dept. of EECS, Massachusetts Institute of Technology {fredchen,

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

David Tománek. Michigan State University.

David Tománek. Michigan State University. Designing Carbon-Based Nanotechnology on a Supercomputer David Tománek Michigan State University tomanek@msu.edu http://www.pa.msu.edu/~tomanek Acknowledgements Gianaurelio Cuniberti, Yoshiyuki Miyamoto,

More information

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2 Properties of CNT d = 2.46 n 2 2 1 + n1n2 + n2 2π Metallic: 2n 1 +n 2 =3q Armchair structure always metallic a) Graphite Valence(π) and Conduction(π*) states touch at six points(fermi points) Carbon Nanotube:

More information

Projected Performance Advantage of Multilayer Graphene Nanoribbon as Transistor Channel Material

Projected Performance Advantage of Multilayer Graphene Nanoribbon as Transistor Channel Material Projected Performance Advantage of Multilayer Graphene Nanoribbon as Transistor Channel Material Yijian Ouyang 1, Hongjie Dai 2, and Jing Guo 1 1 Department of Electrical and Computer Engineering, University

More information

Electrostatics of Nanowire Transistors

Electrostatics of Nanowire Transistors Electrostatics of Nanowire Transistors Jing Guo, Jing Wang, Eric Polizzi, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering Purdue University, West Lafayette, IN, 47907 ABSTRACTS

More information

Graphene Devices, Interconnect and Circuits Challenges and Opportunities

Graphene Devices, Interconnect and Circuits Challenges and Opportunities Graphene Devices, Interconnect and Circuits Challenges and Opportunities Mircea R. Stan, Dincer Unluer, Avik Ghosh, Frank Tseng Univ. of Virginia, ECE Dept., Charlottesville, VA 22904 {mircea,du7x,ag7rq,ft8e}@virginia.edu

More information

Electrical Properties of Graphene for Interconnect Applications

Electrical Properties of Graphene for Interconnect Applications Appl. Sci. 14, 4, 35-317; doi:1.339/app435 Article OPEN ACCESS applied sciences ISSN 76-3417 www.mdpi.com/journal/applsci Electrical Properties of Graphene for Interconnect Applications Antonio Maffucci

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

Transversal electric field effect in multilayer graphene nanoribbon

Transversal electric field effect in multilayer graphene nanoribbon Transversal electric field effect in multilayer graphene nanoribbon S. Bala kumar and Jing Guo a) Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32608, USA

More information

Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper)

Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper) Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper) Yuan Xie, Soumya Eachempati, Aditya Yanamandra, Vijaykrishnan Narayanan, Mary

More information

Research Article Graphene Nanoribbon Conductance Model in Parabolic Band Structure

Research Article Graphene Nanoribbon Conductance Model in Parabolic Band Structure Nanomaterials Volume 21, Article ID 753738, 4 pages doi:1.1155/21/753738 Research Article Graphene Nanoribbon Conductance Model in Parabolic Band Structure Mohammad Taghi Ahmadi, Zaharah Johari, N. Aziziah

More information

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs Xiaoxia Wu, Wei Zhao, Mark Nakamoto, Chandra Nimmagadda,

More information

Electrostatic Single-walled Carbon Nanotube (CNT) Field Effect Transistor Device Modeling

Electrostatic Single-walled Carbon Nanotube (CNT) Field Effect Transistor Device Modeling Electrostatic Single-walled Carbon Nanotube (CNT) Field Effect Transistor Device Modeling Henok Abebe The Service USC Viterbi School of Engineering Information Sciences Institute Collaborator Ellis Cumberbatch

More information

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies Prof. Sherief Reda Division of Engineering Brown University Fall 2008 1 Near-term emerging computing

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

GRAPHENE NANORIBBONS Nahid Shayesteh,

GRAPHENE NANORIBBONS Nahid Shayesteh, USC Department of Physics Graduate Seminar GRAPHENE NANORIBBONS Nahid Shayesteh, Outlines 2 Carbon based material Discovery and innovation of graphen Graphene nanoribbons structure and... FUNCTIONS 3 Carbon-based

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Electromagnetic Parameters Extraction for Integrated-circuit Interconnects for Open Three conductors with Two Levels Systems

Electromagnetic Parameters Extraction for Integrated-circuit Interconnects for Open Three conductors with Two Levels Systems Electromagnetic Parameters Extraction for Integrated-circuit Interconnects for Open Three conductors with Two Levels Systems S. M. Musa, M. N. O. Sadiku, and J. D. Oliver Corresponding author: S.M. Musa

More information

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES SHENG F. YEN 1, HAROON LAIS 1, ZHEN YU 1, SHENGDONG LI 1, WILLIAM C. TANG 1,2, AND PETER J. BURKE 1,2 1 Electrical Engineering

More information

Dissipative Transport in Rough Edge Graphene Nanoribbon. Tunnel Transistors

Dissipative Transport in Rough Edge Graphene Nanoribbon. Tunnel Transistors Dissipative Transport in Rough Edge Graphene Nanoribbon Tunnel Transistors Youngki Yoon and Sayeef Salahuddin Department of Electrical Engineering and Computer Sciences, University of California, Berkeley,

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Are Carbon Nanotubes the Future of VLSI Interconnections?

Are Carbon Nanotubes the Future of VLSI Interconnections? Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 e-mail: {kaustav,

More information

Session Chair: Prof. Haiping Cheng (University of Florida) Dr. Lei Shen. National University of Singapore

Session Chair: Prof. Haiping Cheng (University of Florida) Dr. Lei Shen. National University of Singapore B1. Modeling Quantum Transport at Nanoscale Chair(s): Chun ZHANG, National University of Singapore, Singapore Session s Title (if available) Tue - 17 Jan 2017 13:00 ~ 14:30 Room 2 Session Chair: Prof.

More information

COMPACT GRAPHENE FIELD EFFECT TRANSISTOR MODELING WITH QUANTUM CAPACITANCE EFFECTS

COMPACT GRAPHENE FIELD EFFECT TRANSISTOR MODELING WITH QUANTUM CAPACITANCE EFFECTS COMPACT GRAPHENE FIELD EFFECT TRANSISTOR MODELING WITH QUANTUM CAPACITANCE EFFECTS Arya Raj K. and Bala Tripura Sundari B. Department of Electronics & Communication Engineering, Amrita Viswa Vidyapeetham,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2011.138 Graphene Nanoribbons with Smooth Edges as Quantum Wires Xinran Wang, Yijian Ouyang, Liying Jiao, Hailiang Wang, Liming Xie, Justin Wu, Jing Guo, and

More information

DesignConEast 2005 Track 4: Power and Packaging (4-WA1)

DesignConEast 2005 Track 4: Power and Packaging (4-WA1) DesignConEast 2005 Track 4: Power and Packaging (4-WA1) Design of a Low-Power Differential Repeater Using Low-Voltage Swing and Charge Recycling Authors: Brock J. LaMeres, University of Colorado / Sunil

More information

I-V characteristics model for Carbon Nanotube Field Effect Transistors

I-V characteristics model for Carbon Nanotube Field Effect Transistors International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 33 I-V characteristics model for Carbon Nanotube Field Effect Transistors Rebiha Marki, Chérifa Azizi and Mourad Zaabat. Abstract--

More information

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations Chinese Journal of Electronics Vol.4, No.1, Jan. 015 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations LI Jianwei 1,,DONGGang 3, WANG Zeng 4 and YE Xiaochun (1.Faculty

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

NiCl2 Solution concentration. Etching Duration. Aspect ratio. Experiment Atmosphere Temperature. Length(µm) Width (nm) Ar:H2=9:1, 150Pa

NiCl2 Solution concentration. Etching Duration. Aspect ratio. Experiment Atmosphere Temperature. Length(µm) Width (nm) Ar:H2=9:1, 150Pa Experiment Atmosphere Temperature #1 # 2 # 3 # 4 # 5 # 6 # 7 # 8 # 9 # 10 Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1,

More information

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 8-18-28 OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Mathieu Luisier

More information

Scanning Tunneling Microscopy

Scanning Tunneling Microscopy Scanning Tunneling Microscopy Scanning Direction References: Classical Tunneling Quantum Mechanics Tunneling current Tunneling current I t I t (V/d)exp(-Aφ 1/2 d) A = 1.025 (ev) -1/2 Å -1 I t = 10 pa~10na

More information

A Thermal Model for Carbon Nanotube Interconnects

A Thermal Model for Carbon Nanotube Interconnects Nanomaterials 03, 3, 9-4; doi:0.3390/nano3009 Article OPEN ACCESS nanomaterials ISSN 079-499 www.mdpi.com/journal/nanomaterials A Thermal Model for Carbon Nanotube Interconnects Kaji Muhammad Mohsin, Ashok

More information

Conductance of Graphene Nanoribbon Junctions and the Tight Binding Model

Conductance of Graphene Nanoribbon Junctions and the Tight Binding Model Wu and Childs Nanoscale es Lett, 6:6 http://www.nanoscalereslett.com/content/6//6 NANO EXPE Open Access Conductance of Graphene Nanoribbon Junctions and the Tight Binding Model Y Wu, PA Childs * Abstract

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors

Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors David Jiménez Departament d'enginyeria Electrònica, Escola d'enginyeria, Universitat Autònoma de Barcelona,

More information

METAL/CARBON-NANOTUBE INTERFACE EFFECT ON ELECTRONIC TRANSPORT

METAL/CARBON-NANOTUBE INTERFACE EFFECT ON ELECTRONIC TRANSPORT METAL/CARBON-NANOTUBE INTERFACE EFFECT ON ELECTRONIC TRANSPORT S. Krompiewski Institute of Molecular Physics, Polish Academy of Sciences, M. Smoluchowskiego 17, 60-179 Poznań, Poland OUTLINE 1. Introductory

More information

Nanocarbon Interconnects - From 1D to 3D

Nanocarbon Interconnects - From 1D to 3D Nanocarbon Interconnects - From 1D to 3D Cary Y. Yang Santa Clara University Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary Device Scaling driven

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

Chun Yung Sung Science and Technology Strategy Department IBM T.J. Watson Research Center Yorktown, NY, USA

Chun Yung Sung Science and Technology Strategy Department IBM T.J. Watson Research Center Yorktown, NY, USA 51. Reconfigurable Multi-Function Logic Based on Graphene P-N Junctions Sansiri Tanachutiwat, Ji Ung Lee, and Wei Wang College of Nanoscale Science and Engineering University at Albany, State University

More information

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications M. C. Parameshwara 1,K.S.Shashidhara 2 and H. C. Srinivasaiah 3 1 Department of Electronics and Communication

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

3-month progress Report

3-month progress Report 3-month progress Report Graphene Devices and Circuits Supervisor Dr. P.A Childs Table of Content Abstract... 1 1. Introduction... 1 1.1 Graphene gold rush... 1 1.2 Properties of graphene... 3 1.3 Semiconductor

More information

2202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009

2202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009 2202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009 High-Frequency Analysis of Carbon Nanotube Interconnects and Implications for On-Chip Inductor Design Hong Li, Student Member,

More information

Available online at ScienceDirect. Procedia Materials Science 11 (2015 )

Available online at   ScienceDirect. Procedia Materials Science 11 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Materials Science 11 (2015 ) 287 292 5th International Biennial Conference on Ultrafine Grained and Nanostructured Materials, UFGNSM15 Tunneling

More information

Time Domain Analysis of Graphene Nanoribbon Interconnects Based on Transmission Line Model

Time Domain Analysis of Graphene Nanoribbon Interconnects Based on Transmission Line Model ime Domain Analysis of Graphene Nanoribbon Interconnects Based on ransmission Line Model. Haji Nasiri *, M. K. Moravvej-Farshi ** and R. Faez *** ime domain analysis of multilayer graphene nanoribbon (MLGNR)

More information

chiral m = n Armchair m = 0 or n = 0 Zigzag m n Chiral Three major categories of nanotube structures can be identified based on the values of m and n

chiral m = n Armchair m = 0 or n = 0 Zigzag m n Chiral Three major categories of nanotube structures can be identified based on the values of m and n zigzag armchair Three major categories of nanotube structures can be identified based on the values of m and n m = n Armchair m = 0 or n = 0 Zigzag m n Chiral Nature 391, 59, (1998) chiral J. Tersoff,

More information

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Progress In Electromagnetics Research M, Vol. 34, 171 179, 2014 Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Parsa Pirouznia * and Bahram Azizollah Ganji Abstract

More information

A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube

A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube Journal of Optoelectronical Nanostructures Islamic Azad University Winter 2017 / Vol. 2, No. 4 A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube Mahdieh Nayeri 1, Peiman Keshavarzian*,1,

More information

Performance Comparison of Graphene Nanoribbon FETs. with Schottky Contacts and Doped Reservoirs

Performance Comparison of Graphene Nanoribbon FETs. with Schottky Contacts and Doped Reservoirs Performance Comparison of Graphene Nanoribbon FETs with Schottky Contacts and Doped Reservoirs Youngki Yoon 1,a, Gianluca Fiori 2,b, Seokmin Hong 1, Giuseppe Iannaccone 2, and Jing Guo 1 1 Department of

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

A Broadband Flexible Metamaterial Absorber Based on Double Resonance

A Broadband Flexible Metamaterial Absorber Based on Double Resonance Progress In Electromagnetics Research Letters, Vol. 46, 73 78, 2014 A Broadband Flexible Metamaterial Absorber Based on Double Resonance ong-min Lee* Abstract We present a broadband microwave metamaterial

More information

ECE 497 JS Lecture - 18 Impact of Scaling

ECE 497 JS Lecture - 18 Impact of Scaling ECE 497 JS Lecture - 18 Impact of Scaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 8 th Speaker: Prof.

More information

Designing a Carbon Nanotube Field-Effect Transistor with High Transition Frequency for Ultra-Wideband Application

Designing a Carbon Nanotube Field-Effect Transistor with High Transition Frequency for Ultra-Wideband Application Engineering, 2017, 9, 22-35 http://www.scirp.org/journal/eng ISSN Online: 1947-394X ISSN Print: 1947-3931 Designing a Carbon Nanotube Field-Effect Transistor with High Transition Frequency for Ultra-Wideband

More information

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it.

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it. Session : Fundamentals by definition is the ratio of potential difference of the wire ends to the total current flowing through it. V R I E. dl L = σ E. ds A R = L σwt W H T At high frequencies, current

More information

Highly Confined Tunable Mid-Infrared Plasmonics in Graphene Nanoresonators

Highly Confined Tunable Mid-Infrared Plasmonics in Graphene Nanoresonators Supplementary Information for Highly Confined Tunable Mid-Infrared Plasmonics in Graphene Nanoresonators by Victor W. Brar, Min Seok Jang, Michelle Sherrott, Josue J. Lopez and Harry Atwater 1 Approximating

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 8, AUGUST

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 8, AUGUST IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 8, AUGUST 29 567 Modeling, Analysis, and Design of Graphene Nano-Ribbon Interconnects Chuan Xu, Student Member, IEEE, Hong Li, Student Member, IEEE,

More information

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2014 Dynamic Repeater with Booster Enhancement for Fast Switching Speed and Propagation in Long Interconnect

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

An RF Circuit Model for Carbon Nanotubes

An RF Circuit Model for Carbon Nanotubes IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 2, NO. 1, MARCH 2003 55 An RF Circuit Model for Carbon Nanotubes Abstract We develop an RF circuit model for single walled carbon nanotubes for both dc and capacitively

More information

Electrical and Optical Properties. H.Hofmann

Electrical and Optical Properties. H.Hofmann Introduction to Nanomaterials Electrical and Optical Properties H.Hofmann Electrical Properties Ohm: G= σw/l where is the length of the conductor, measured in meters [m], A is the cross-section area of

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

Carbon based Nanoscale Electronics

Carbon based Nanoscale Electronics Carbon based Nanoscale Electronics 09 02 200802 2008 ME class Outline driving force for the carbon nanomaterial electronic properties of fullerene exploration of electronic carbon nanotube gold rush of

More information

Carbon Nanotube Devices for GHz to THz Applications

Carbon Nanotube Devices for GHz to THz Applications Invited Paper Carbon Nanotube Devices for GHz to THz Applications Peter J. Burke * Department of Electrical Engineering and Computer Science University of California, Irvine Irvine, CA 92697-2625 ABSTRACT

More information

Impact of oxide thickness on gate capacitance Modelling and comparative analysis of GaN-based MOSHEMTs

Impact of oxide thickness on gate capacitance Modelling and comparative analysis of GaN-based MOSHEMTs PRAMANA c Indian Academy of Sciences Vol. 85, No. 6 journal of December 2015 physics pp. 1221 1232 Impact of oxide thickness on gate capacitance Modelling and comparative analysis of GaN-based MOSHEMTs

More information