Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy

Size: px
Start display at page:

Download "Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy"

Transcription

1 2017 IEEE 67th Electronic Components and Technology Conference Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy Yézouma D. Zonou, Stéphane Bernabé, Daivid Fowler, Mireille Francou, Olivier Castany Université Grenoble Alpes, CEA, LETI, MINATEC campus, CEA-Grenoble, 17 rue des martyrs, F Grenoble, France yezouma-dieudonne.zonou@cea.frauthors Philippe Arguel CNRS, LAAS, 7 avenue du colonel Roche, F Toulouse, France Université de Toulouse, UPS, LAAS, F Toulouse, France Abstract This paper studies the self-alignment properties between two chips that are stacked on top of each other with copper pillars micro-bumps. The chips feature alignment marks used for measuring the resulting offset after assembly. The accuracy of the alignment is found to be better than 0.5 μm in x and y directions, depending on the process. The chips also feature waveguides and vertical grating couplers (VGC) fabricated in the front-end-of-line (FEOL) and organized in order to realize an optical interconnection between the chips. The coupling of light between the chips is measured and compared to numerical simulation. This high accuracy selfalignment was obtained after studying the impact of flux and fluxless treatments on the wetting of the pads and the successful assembly yield. The composition of the bump surface was analyzed with Time-of-Flight Secondary Ions Mass Spectroscopy (ToF-SIMS) in order to understand the impact of each treatment. This study confirms that copper pillars microbumps can be used to self-align photonic integrated circuits (PIC) with another die (for example a microlens array) in order to achieve high throughput alignment of optical fiber to the PIC. Keywords- Self alignment, Copper pillars, Micro-bumps, Selfpositioning, Optical coupling, Silicon Photonics I. INTRODUCTION Packaging of optoelectronic devices is a major contribution to the module cost (up to 80%) [1]. This is mainly due to the active alignment process between single-mode devices, which requires high alignment precision in the micrometer range and is a unitary and time consuming process. Different methods of passive alignment were studied in order to reduce manufacturing cost, while enabling the assembly of complex optical devices. In this context, a promising solution is the approach based on the self-alignment effect of solder bumps during the melting stage. This solution has the advantage to allow batch assembly process and is compatible with existing manufacturing equipment, which reduces the cost of the packaging. In the past years, several works studied the accuracy of self-alignment with In, PbSn, AuSn, or Sn solder balls and exhibited a good positioning accuracy (< 1 μm) [1][2][3]. In the meantime, the need for increased interconnections density, thinner profile, and improved electrical and thermal performances led to the industrial adoption of copper pillar bumps with Sn solder for flip-chip stacking. It is therefore an important work to develop a self-alignment process with copper pillars bumps and to study the achievable accuracy. One key aspect of chip assembly with eutectic solder is the good wettability of the bump solder on the under bump metallization (UBM) pads. Conventional process uses liquid flux, which is not perfectly suitable for optical applications because residues are left by the flux and can alter optical transmission. To prevent this problem, fluxless approaches were developed. The first approach uses a reducing gas atmosphere in order to remove the tin oxide layer. Formic acid vapor (HCOOH) is commonly used for that purpose, but the process is known to be delicate with tin solder [4] and requires process optimization or additional treatment like vacuum ultra violet [5]. Other gas can be used but are less common, like Ar/H2 plasma [6]. The second approach is to cap the tin bumps with a non-oxidizing material like chromium in order to protect tin from oxygen [7]. In this work, we will study three types of processes: reflow with liquid flux, reflow with formic acid vapor, and a pre-treatment with liquid flux before reflow. The influence of the treatment on the surface of the bumps will be analyzed with ToF-SIMS. The assembly of chips with solder bumps can provide selfalignment effect due to the capillary forces of the solder during the melting phase. We investigate the precision of the self-alignment depending on the surface treatment, the Sn bump height, and the initial offset before reflow, by reading a Vernier scale on the assembled chips. In section VI, we present some experiments done on assembled chips, both featuring embedded optical waveguides and coupling structures that realize an optical interconnection between them. This configuration, is useful for Optical Proximity Communications [2], which is a /17 $ IEEE DOI /ECTC

2 preliminary assessment for further experiments involving self-assembly of micro-optics on the top of a Silicon Photonics Chip, as described in [8]. II. TEST VEHICLE We designed and processed silicon photonic chips including buried silicon waveguides and grating couplers in the front-end-of-line (FEOL). We used 200-mm-diameter SOI wafers with 2- m-thick buried oxide and 300-nm-thick silicon layer. The waveguide geometry is a rib with 400-nm-wide ridge and 150-nm-thick slab. We designed two types of structures corresponding to top and bottom chips intended to be flip-chipped the one on top of the other (Figure 1). These two structures are processed on the same wafers, followed by back-end-of-line (BEOL) processing with either copper pillar micro-bumps or UBM. The top chips are diced from the wafers with copper pillars and the bottom chips are diced from the wafers with UBM pads. Dimensions of top and bottom chips are 3.8 x 2.0 mm and 3.8 x 10.0 mm respectively. For light coupling evaluation, the top chips is designed to act as a bridge for light transmission (see Figure 6). The optical interconnect between the top and bottom chip is made with vertical grating couplers (VGC). registration accuracy better than 0.1 μm. Particular attention was payed to ensure that the overlay between the fabricated levels was indeed bounded by this tolerance value. Since our aim is to measure the alignment precision between the two chips after stacking, it is necessary to see through the silicon chips, which requires the backside of the wafers to be polished. Inspection is done with a microscope and a CMOS image sensor camera that makes it possible to observe wavelength up to 1.1 μm. Due to the reduced resolution of infrared imaging, our precision when reading the misalignment is limited to 0.5 μm. The measurement pattern presented in Figure 2(a) includes a Vernier scale that allows reading with 0.5 μm precision. Figure 2(b) is a close-up on the horizontal Vernier scale that is used for measuring the misalignment in the x direction. Figure 1: Test vehicles GDS and 3d view of assembly Copper pillar micro-bumps with Cu/Ni/SnAg structure were fabricated by electro-chemical deposition (ECD), with 25 μm diameter and 50 μm square pitch. The SnAg thickness of the bumps was 4, 6 and 8 μm. The corresponding UBM pads are made by physical vapor deposition (PVD) of Ti/Ni/Au with a thickness of 200/700/100 nm respectively. The precision of the self-alignment is measured with alignment marks with Vernier scale (Figure 2). All the photolithography steps were done on steppers with a Figure 2: design view of alignment marks (a) gobal view of all the alignment marks (b) zoom on the Vernier scale 558

3 III. SELF-ALIGNMENT ASSEMBLY CONDITIONS Flip-chip stacking was performed on FC150 and FC300 equipment from SET, followed by a mass reflow at 250 C [9]. Figure 3 explains the motion during assembly at the bump level. For testing the self-alignment capability, we purposely induced a controlled initial offset in both x and y direction. This experiment was performed with the FC300 equipment, which makes it possible to place chips reliably with 1 μm precision. to zero. Pre-treatment with liquid flux also reduces the oxygen and the carbon efficiently. This result is consistent with the fact that assembling chips with HCOOH 8% treatment, or with liquid flux pre-treatment, yields a 100% successful assembly ratio (meaning that all the UBM pads are wetted after assembly) [9]. Figure 3: Principle of self-alignment with copper micro bumps IV. SURFACE TREATMENT EFFECT ON SnAg MICRO- BUMPS Proper wetting of the solder is a necessary requirement for self-alignment to occur as expected, which requires the application of an adequate surface treatment. For understanding the actions of the surface treatment on SnAg micro-bump, we performed ToF-SIMS analysis of the oxygen and carbon remaining on the surface of the bumps after each treatment. This gives information on the ability of the treatment to remove the oxide layer and the organic contamination remaining on the bumps. Samples are treated according to TABLE I and immediately stored in vacuum atmosphere in order to prevent re-oxidization. TABLE I DIFFERENT SURFACES TREATMENTS USED AND THEIR CONDITIONS HCOOH 1.7% HCOOH 8% Pre-treatment with liquid flux 205 C C Action at room temperature in ultrasonic bath (3 min) + IPA cleaning The ToF-SIMS results is shown on Figure 4. The reference sample exhibits the highest intensity pic for oxygen and carbon (Figure 4 (a) and (b) respectively), which is a measure of the thickness of oxide layer and organic residues on the bump surface. The sample treated with HCOOH 1.7% has less oxygen and carbon than the reference but HCOOH 8% treatment is more efficient and shows oxygen intensity close Figure 4. Surface treatment impact on bumps surfaces (a) impact on oxygen (b) impact on carbon V. SELF-ALIGNMENT WITH FLUX AND FLUXLESS TREATMENT For self-alignment evaluation, samples are treated with liquid flux, formic acid 8%, or pre-treated with liquid flux. The alignment marks and the Vernier are observed in the infrared with a microscope, as previously explained, in order to measure the alignment accuracy (Figure 5). The result is reported in TABLE II as a function on the initial offset that was set purposely. All the assemblies reached a self-alignment precision better than 0.5 μm. In the case of the liquid flux (Figure 5 c) we observe some residues between copper bumps, which are absent with the 559

4 two other techniques. Since we do not want to alter the optical transmission with flux residues, we gave our preference to these two techniques for the next set of experiment, namely HCOOH 8% treatment and pre-treatment with liquid flux. TABLE II : ALIGNMENT ACCURACY DEPENDING ON THE SURFACE TREATMENT TABLE III SELF-ALIGNMENT ACCURACY DEPENDING ON THE SnAg HEIGHT SnAg height x x <0.5 < <0.5 < <0.5 <0.5 TREATMENT NUMBER OF SAMPLES x x liquid flux <0.5 <0.5 pre-treatment with liquid flux <0.5 <0.5 HCOOH 8% <0.5 <0.5 Figure 5: Infrared microscope observation of the measurement pattern after chip assembly and self-alignment. (a) ideal alignment according to the design (b) self-alignment with formic acid, (c) liquid flux, (d) pre-treatment with liquid flux 560

5 Assemblies were made with different SnAg micro-bumps height and the result is presented in TABLE III. Changing the SnAg height from 8 to 4μm did not alter the self-alignment accuracy, which was still below 0.5μm. Note that the choice of the SnAg height is limited by copper pillar diameter, because in general the height must be lower than radius of the pillar to avoid spilling on the side of the copper pillar during the reflow step. TABLE IV : ACCURACY DEPENDING ON THE INITIAL OFFSET TREATM ENT pretreatment with liquid flux pretreatment with liquid flux HCOOH 8% NUMBER OF SAMPLES x x <0.5 < <0.5 <0.5 Figure 6 Optical paths from fibers to waveguides In a second step we increased the initial offset to study the limit of the restoring motion for bumps with 8μm of SnAg. The result is shown on TABLE IV. The accuracy is still below 0.5μm when the offset is smaller than 6μm (5 and 3μm in laterals directions). But when the offset was increased to 7μm, self-alignment did not occur correctly and the final offset remained the same as the initial one. Fortunately, pickand-place equipment are available that offer a positioning precision better than 5 μm with high throughput (> 1000 Unit Per Hour [10]). Figure 7: Experimental setup showing the assembled chip with horizontal light channels. The optical fibers are aligned with the last optical channel. VI. OPTICAL COUPLING In addition to the self-alignment measurement presented in the previous sections, we performed optical coupling experiments between the two chips, using embedded optical waveguides and vertical grating couplers (VGC). The bottom chip features a reference channel (Figure 6, Path 1) and several bridge channels (Path 2), where the offset between the grating couplers varies for the different channels. The insertion loss for all channels is measured using an automated probe station (Cascade Elite 300) with input and output optical fibers at 8 incidence angle from the vertical (Figure 7). Figure 8 : Offset definition between the grating couplers. In the top view, the two couplers are drawn side by side for clarity, while there are on top of each other in reality. The reference is the first silicon trench of the grating coupler. 561

6 The offset between the VGC is explained in more details on Figure 8. The reference point for defining the offset is the position of the first silicon trench of the grating couplers. Offset values from 2 to 16μm in the horizontal direction were implemented on the test vehicle. The gap = 20μm between the stacked VGCs is fixed by the height of the copper pillars/snag bumps/ubm and the passivation layers. Chips were assembled with the process explained in the previous section. Self-alignment reached a precision below 0.5 μm, as evidenced by reading the Vernier scale. The measured insertion loss for the different channels is presented on Figure 9 after averaging the interferences. Figure 9 : Measured insertion loss for the different channels (after smoothing of the interferences) From this graph, we deduce the loss corresponding to the transition of light between two stacked VGC, as shown on Figure 8 (this is done by subtracting the reference and dividing by two). On Figure 10, the loss at 1300 nm wavelength is plotted as a function of the VGC offset. This experimental result is compared with an FDTD simulation of the system obtained using RSoft software. Figure 10 : Loss of the VGC to VGC transition as a function of the horizontal offset ( ). Experimental measurement shows minimum loss for an offset close to = 8μm, while FDTD simulation performed with a gap = 20μm gives an optimal coupling for = 8.5μm. There is a good agreement between the experiment and the simulation for the position of the optimal coupling, which demonstrates at the same time a good control of the selfalignment and of the optical design. VII. CONCLUSION In this paper we demonstrated submicronic position accuracy after self-alignment between SOI photonic chips using copper pillars micro-bumps. This result was obtained by using suitable surface treatments for the SnAg micro-bumps. We demonstrated that self-alignment with copper microbumps can reach an accuracy lower than 0.5μm with different SnAg bump height (8, 6 and 4μm). We studied the selfalignment according to the initial offset, and found out that for 25μm bumps the self-alignment is efficient (<0.5μm) when the initial offset is smaller than 6 μm. This allows efficient optical coupling from VGC to VGC coupling, paving the way for self-aligned optical architectures involving microlenses positioned on the top of a Photonic Chip. These new architectures will enable low cost, high throughput assemblies of single mode fiber connectors on Photonic Integrated Circuits. ACKNOWLEDGMENTS This work was funded thanks to the French national program Programme d Investissements d Avenir, IRT Nanoelec ANR-10-AIRT-05. The authors would also like to thank the Leti/DOPT/LCPC laboratory, especially Philippe Grosse for helping with optical characterisation on the prober station. REFERENCES [1] R. A. Boudreau and S. M. Boudreau, Eds., Passive micro-optical alignment methods. Boca Raton: Taylor & Francis, [2] S. Bernabé, C. Kopp, M. Volpert, J. Harduin, J.-M. Fédéli, and H. Ribot, Chip-to-chip optical interconnections between stacked selfaligned SOI photonic chips, Opt. Express, vol. 20, no. 7, pp , [3] T. Hayashi, An innovative bonding technique for optical chips using solder bumps that eliminate chip positioning adjustments, IEEE Trans. Compon. Hybrids Manuf. Technol., vol. 15, no. 2, pp , Apr [4] W. Lin and Y. C. Lee, Study of fluxless soldering using formic acid vapor, Adv. Packag. IEEE Trans. On, vol. 22, no. 4, pp , [5] K. Sakuma, A. Shigetou, S. Shoji, and J. Mizuno, Investigations of fluxless flip-chip bonding using vacuum ultraviolet and formic acid vapor surface treatment, in 2011 International Symposium on Advanced Packaging Materials (APM), 2011, pp [6] S.-M. Hong, C.-S. Kang, and J.-P. Jung, Plasma reflow bumping of Sn3.5 Ag solder for flux-free flip chip package application, IEEE Trans. Adv. Packag., vol. 27, no. 1, pp , [7] C. C. Lee and J. Kim, Fundamentals of fluxless soldering technology, in International Symposium on Advanced Packaging Materials: Processes, Properties and Interfaces, Proceedings, 2005, pp [8] S. Bernabe et al., On-Board Silicon Photonics-Based Transceivers With 1-Tb/s Capacity, IEEE Trans. Compon. Packag. Manuf. Technol., vol. PP, no. 99, pp. 1 8, [9] Y. D. Zonou, O. Castany, S. Bernabe, and P. Arguel, Towards self-alignment with copper pillars, in th Electronic System- Integration Technology Conference (ESTC), 2016, pp [10] Panasonic, Panasonic FCB3 Microelectronics Bonder, Panasonic. 562

Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique

Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique Jung Woon Lim, Hwe Jong Kim, Seon Hoon Kim and Byung Sup Rho Korea Photonics Technology Institute

More information

Solder Self-assembly for MEMS

Solder Self-assembly for MEMS Solder Self-assembly for MEMS Kevin F. Harsh, Ronda S. Irwin and Y. C. Lee NSF Center for Advanced Manufacturing and Packaging of Microwave, Optical and Digital Electronics, Department of Mechanical Engineering

More information

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air Materials Transactions, Vol. 49, No. 7 (28) pp. 18 to 112 Special Issue on Lead-Free Soldering in Electronics IV #28 The Japan Institute of Metals Effect of Surface Contamination on Solid-State Bondability

More information

Especial Bump Bonding Technique for Silicon Pixel Detectors

Especial Bump Bonding Technique for Silicon Pixel Detectors Especial Bump Bonding Technique for Silicon Pixel Detectors E. Cabruja, M. Bigas, M. Ullán, G. Pellegrini, M. Lozano Centre Nacional de Microelectrònica Spain Outline Motivation Summary of bump bonding

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH 2016 471 A Self-Aligning Flip-Chip Assembly Method Using Sacrificial Positive Self-Alignment Structures Hyung

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Superconducting Ti/TiN thin films for mm wave absorption

Superconducting Ti/TiN thin films for mm wave absorption Superconducting /N thin films for mm wave absorption A.Aliane 1, M. Solana 2, V. Goudon 1, C. Vialle 1, S. Pocas 1, E. Baghe 1, L. Carle 1, W. Rabaud 1, L. Saminadayar 2, L. Dussopt 1, P.Agnese 1, N. Lio

More information

Thermal aspects of 3D and 2.5D integration

Thermal aspects of 3D and 2.5D integration Thermal aspects of 3D and 2.5D integration Herman Oprins Sr. Researcher Thermal Management - imec Co-authors: Vladimir Cherman, Geert Van der Plas, Eric Beyne European 3D Summit 23-25 January 2017 Grenoble,

More information

Fluxless Soldering in Activated Hydrogen Atmosphere

Fluxless Soldering in Activated Hydrogen Atmosphere Fluxless Soldering in Activated Hydrogen Atmosphere C. Christine Dong1*, Richard E. Patrick1, Russell A. Siminski 1, and Tim Bao 2 1 Air Products and Chemicals, Allentown, PA 18195-1501, USA 2 Air Products

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

SET Technical Bulletin

SET Technical Bulletin SET Technical Bulletin DIE BONDING APPLICATIONS An Innovative Die to Wafer 3D Integration Scheme: Die to Wafer Oxide or Copper Direct Bonding with Planarised Oxide Inter-Die Filling RF MEMS and Flip-Chip

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Study of Solder Ball Bump Bonded Hybrid Silicon Pixel Detectors at DESY

Study of Solder Ball Bump Bonded Hybrid Silicon Pixel Detectors at DESY Study of Solder Ball Bump Bonded Hybrid Silicon Pixel Detectors at DESY S. Arab, S. Choudhury, G. Dolinska, K. Hansen, I. Korol, H. Perrey, D. Pitzl, S. Spannagel ( DESY Hamburg ) E. Garutti, M. Hoffmann,

More information

Vertically-Integrated Array-Type Miniature Interferometer as a Core Optical Component of a Coherence Tomography System for Tissue Inspection

Vertically-Integrated Array-Type Miniature Interferometer as a Core Optical Component of a Coherence Tomography System for Tissue Inspection Vertically-Integrated Array-Type Miniature Interferometer as a Core Optical Component of a Coherence Tomography System for Tissue Inspection Wei-Shan Wang a, Maik Wiemer *a, Joerg Froemel a, Tom Enderlein

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Introduction There are many sensor effects... but only a a very few sensors! Outline CMOS Encapsulated CMOS/MEMS

More information

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications 2017 IEEE 67th Electronic Components and Technology Conference Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications Qinghua Zeng, Yong Guan,

More information

Budapest, Hungary, September 2007 The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints

Budapest, Hungary, September 2007 The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints Dan Yang and Y. C. Chan* Department of Electronic Engineering, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon,

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 Effects of Thermocompression Bonding Parameters on Cu Pillar/Sn-Ag Microbump Solder Joint Morphology

More information

BALL GRID ARRAY REWORK TRAINING CERTIFICATION TEST (DVD-96C) v.2

BALL GRID ARRAY REWORK TRAINING CERTIFICATION TEST (DVD-96C) v.2 This test consists of twenty multiple-choice questions. All questions are from the video: Ball Grid Array Rework (DVD-96C). Each question has only one most correct answer. Circle the letter corresponding

More information

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 1 Introduction Cause of Soft errors a. Ion creates electron hole pairs

More information

Deformation of solder joint under current stressing and numerical simulation II

Deformation of solder joint under current stressing and numerical simulation II International Journal of Solids and Structures 41 (2004) 4959 4973 www.elsevier.com/locate/ijsolstr Deformation of solder joint under current stressing and numerical simulation II Hua Ye *, Cemal Basaran,

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

Low-Temperature Bonding for Silicon-Based Micro-Optical Systems

Low-Temperature Bonding for Silicon-Based Micro-Optical Systems Photonics 2015, 2, 1164-1201; doi:10.3390/photonics2041164 Review OPEN ACCESS photonics ISSN 2304-6732 www.mdpi.com/journal/photonics Low-Temperature Bonding for Silicon-Based Micro-Optical Systems Yiheng

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner 10.1149/1.2986844 The Electrochemical Society Ge Quantum Well Modulators on Si D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner Ginzton Laboratory, 450 Via Palou, Stanford CA 94305-4088,

More information

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD N.BhargavaRamudu 1, V. Nithin Kumar Varma 2, P.Ravi kiran 3, T.Venkata Avinash 4, Ch. Mohan Sumanth 5, P.Prasanthi 6 1,2,3,4,5,6

More information

Thermal experimental & simulation investigations on new lead frame based LED packages.

Thermal experimental & simulation investigations on new lead frame based LED packages. Thermal experimental & simulation investigations on new lead frame based LED packages. B. Pardo, A. Piveteau, J. Routin, S, A. Gasse, T. van Weelden* CEA-Leti, MINATEC Campus, 17 rue des Martyrs, 38054

More information

HSG-IMIT Application AG

HSG-IMIT Application AG B4.1 Acceleration Sensors IP-Blocks for MEMS Foundry Surface Micromachining Process R. Knechtel, S. Dempwolf S. Hering X-FAB Semiconductor Foundries AG Haarberstraße 67 99097 Erfurt / Germany T. Link J.

More information

EVALUATION OF THE THERMAL AND HYDRAULIC PERFORMANCES OF A VERY THIN SINTERED COPPER FLAT HEAT PIPE FOR 3D MICROSYSTEM PACKAGES

EVALUATION OF THE THERMAL AND HYDRAULIC PERFORMANCES OF A VERY THIN SINTERED COPPER FLAT HEAT PIPE FOR 3D MICROSYSTEM PACKAGES Stresa, Italy, 25-27 April 2007 EVALUATION OF THE THERMAL AND HYDRAULIC PERFORMANCES OF A VERY THIN SINTERED COPPER FLAT HEAT PIPE FOR 3D MICROSYSTEM PACKAGES Slavka Tzanova 1, Lora Kamenova 2, Yvan Avenas

More information

Coordinate Measurement Machine

Coordinate Measurement Machine Inspection Tools Coordinate Measurement Machine Application: Measure distance, radius, diameter, and Z-height Stage dimensions: Objectives: 0.5X, 2.5X Variable zoom Units: US Standard, Metric Contact:

More information

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B PRODUCT FAMILY SPEFICIFATION SCB10H Series Pressure Elements SCB10H Series Pressure Elements Doc. No. 82 1250 00 B Table of Contents 1 General Description... 3 1.1 Introduction... 3 1.2 General Description...

More information

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging Chih-Tang Peng 1, Chang-Ming Liu 1, Ji-Cheng Lin 1, Kuo-Ning Chiang E-Mail: Knchiang@pme.nthu.edu.tw Department

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

2017 IEEE 67th Electronic Components and Technology Conference

2017 IEEE 67th Electronic Components and Technology Conference 2017 IEEE 67th Electronic Components and Technology Conference A Unique Temporary Bond Solution Based on a Polymeric Material Tacky at Room Temperature and Highly Thermally Resistant Application Extension

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor

WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor Rev 3.1 Key features Full compatible to monolithic ceramic capacitors Ultra high stability of capacitance value: Temperature ±1.5% (-55 C

More information

Next-Generation Packaging Technology for Space FPGAs

Next-Generation Packaging Technology for Space FPGAs Power Matters. Next-Generation Packaging Technology for Space FPGAs Microsemi Space Forum Russia November 2013 Raymond Kuang Director of Packaging Engineering, SoC Products Group Agenda CCGA (ceramic column

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE JEROEN JALINK 8 JUNI 2016 MICROELECTRONICS RELIABILITY 54 (2014) 1988 1994 Contents Introduction NXP Package form factor Failure mechanism

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Fig. 1. Schema of a chip and a LED

Fig. 1. Schema of a chip and a LED More Info at Open Access Database www.ndt.net/?id=17742 Chip emissivity mapping for blue and white light emitting diodes Abstract by F. Belfio a,b, J.-F. Veneau b and O. Fudym a a Univ. Toulouse; Mines

More information

Electrical Conductive Adhesives with Nanotechnologies

Electrical Conductive Adhesives with Nanotechnologies Yi Li Daniel Lu C.P. Wong Electrical Conductive Adhesives with Nanotechnologies Springer 1 Introduction 1 1.1 Electronics Packaging and Interconnect 1 1.2 Interconnection Materials 11 1.2.1 Lead-Free Interconnect

More information

314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY Wei Tan, I. Charles Ume, Ying Hung, and C. F. Jeff Wu

314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY Wei Tan, I. Charles Ume, Ying Hung, and C. F. Jeff Wu 314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY 2010 Effects of Warpage on Fatigue Reliability of Solder Bumps: Experimental and Analytical Studies Wei Tan, I. Charles Ume, Ying Hung,

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages K. N. Chiang Associate Professor e-mail: knchiang@pme.nthu.edu.tw C. W. Chang Graduate Student C. T. Lin Graduate Student

More information

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

FEM Analysis on Mechanical Stress of 2.5D Package Interposers Hisada et al.: FEM Analysis on Mechanical Stress of 2.5D Package Interposers (1/8) [Technical Paper] FEM Analysis on Mechanical Stress of 2.5D Package Interposers Takashi Hisada, Toyohiro Aoki, Junko Asai,

More information

Arbitrary and reconfigurable optics - new opportunities for integrated photonics

Arbitrary and reconfigurable optics - new opportunities for integrated photonics Arbitrary and reconfigurable optics - new opportunities for integrated photonics David Miller, Stanford University For a copy of these slides, please e-mail dabm@ee.stanford.edu How to design any linear

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Jim BOVATSEK *1, Rajesh PATEL *1 *1 Spectra-Physics, MKS Instruments, Inc., 3635 Peterson Way, Santa Clara, CA., 95054,

More information

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Thomas Obeloer*, Bruce Bolliger Element Six Technologies 3901 Burton Drive Santa Clara, CA 95054 *thomas.obeloer@e6.com

More information

Thermomigration in Eutectic Tin-Lead Flip Chip Solder Joints

Thermomigration in Eutectic Tin-Lead Flip Chip Solder Joints Thermomigration in Eutectic Tin-Lead Flip Chip Solder Joints Dan Yang, M. O. Alam, B. Y. Wu and Y. C. Chan* Department of Electronic Engineering, City University of Hong Kong, Tat Chee Avenue, Kowloon

More information

Automotive Grade Silicon Capacitors for Under the Hood Applications

Automotive Grade Silicon Capacitors for Under the Hood Applications Automotive Grade Silicon Capacitors for Under the Hood Applications Sébastien Jacqueline, Laurent Lengignon, Laëtitia Omnès IPDiA, 2 rue de la Girafe, 14000 Caen, France laetitia.omnes@ipdia.com, +33 (0)

More information

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration 2016-06-15, Chemnitz Chemnitz University of Technology Basic Research Fraunhofer ENAS System-Packaging (SP) Back-End of Line (BEOL) Applied

More information

The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC

The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC The Broadband Fixed-Angle Source Technique (BFAST) LUMERICAL SOLUTIONS INC. 1 Outline Introduction Lumerical s simulation products Simulation of periodic structures The new Broadband Fixed-Angle Source

More information

Very long wavelength type-ii InAs/GaSb superlattice infrared detectors

Very long wavelength type-ii InAs/GaSb superlattice infrared detectors Very long wavelength type-ii InAs/GaSb superlattice infrared detectors L. Höglund 1, J. B. Rodriguez 2, S. Naureen 1, R. Ivanov 1, C. Asplund 1, R. Marcks von Würtemberg 1, R. Rossignol 2, P. Christol

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

Deposition of volatile chlorohydric acid on Copper wafer depending on humidity and HCl airborne concentration

Deposition of volatile chlorohydric acid on Copper wafer depending on humidity and HCl airborne concentration 2018 SPCC Surface Preparation and Cleaning Conference Minh-Phuong TRAN, CEA-Leti, minh-phuong.tran@cea.fr Hervé FONTAINE, CEA-Leti, herve.fontaine@cea.fr Paola GONZÁLEZ-AGUIRRE, Entegris, paola.gonzalez@entegris.com

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors

Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors Alioune Diouf 1, Thomas G. Bifano 1, Jason B. Stewart 2, Steven Cornelissen 2, Paul Bierden 2 1 Boston University Photonics

More information

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant Supporting Information for A differential Hall effect measurement method with sub-nanometre resolution for active dopant concentration profiling in ultrathin doped Si 1 x Ge x and Si layers Richard Daubriac*

More information

Flip Chip Bonding of a Quartz MEMS-Based Vibrating Beam Accelerometer

Flip Chip Bonding of a Quartz MEMS-Based Vibrating Beam Accelerometer Sensors 2015, 15, 22049-22059; doi:10.3390/s150922049 Article OPEN ACCESS sensors ISSN 1424-8220 www.mdpi.com/journal/sensors Flip Chip Bonding of a Quartz MEMS-Based Vibrating Beam Accelerometer Jinxing

More information

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch J. Lau, Z. Mei, S. Pang, C. Amsden, J. Rayner and S. Pan Agilent Technologies, Inc. 5301 Stevens

More information

THERMOHYDRAULIC BEHAVIOUR OF HEII IN STRATIFIED CO-CURRENT TWO-PHASE FLOW AT HIGH VAPOR VELOCITIES

THERMOHYDRAULIC BEHAVIOUR OF HEII IN STRATIFIED CO-CURRENT TWO-PHASE FLOW AT HIGH VAPOR VELOCITIES EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH European Laboratory for Particle Physics Large Hadron Collider Project LHC Project Report 67 THERMOHYDRAULIC BEHAVIOUR OF HEII IN STRATIFIED CO-CURRENT TWO-PHASE

More information

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES 1 2 ATMOSPHERIC PRESSURE PLASMA PROCESSES AT THE FRAUNHOFER IST Today, atmospheric pressure plasma

More information

Optimum Access Waveguide Width for 1xN Multimode. Interference Couplers on Silicon Nanomembrane

Optimum Access Waveguide Width for 1xN Multimode. Interference Couplers on Silicon Nanomembrane Optimum Access Waveguide Width for 1xN Multimode Interference Couplers on Silicon Nanomembrane Amir Hosseini 1,*, Harish Subbaraman 2, David Kwong 1, Yang Zhang 1, and Ray T. Chen 1,* 1 Microelectronic

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Miniature Illuminator for Laser Doppler Velocimeter Assembled on Micromachined Silicon optical bench.

Miniature Illuminator for Laser Doppler Velocimeter Assembled on Micromachined Silicon optical bench. Miniature Illuminator for Laser Doppler Velocimeter Assembled on Micromachined Silicon optical bench. A. Ksendzov', R.D. Martinb, D. Modaresc, M. Gharibd (a) Jet propulsion Laboratory, California Institute

More information

Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications

Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications Nicolas Martinez-Prieto, Jian Cao, and Kornel Ehmann Northwestern University SmartManufacturingSeries.com

More information

LEAD FREE SURFACE MOUNT REWORK TRAINING CERTIFICATION TEST (DVD-67C)

LEAD FREE SURFACE MOUNT REWORK TRAINING CERTIFICATION TEST (DVD-67C) This test consists of twenty multiple-choice questions. All questions are from the video: Lead Free Surface Mount Rework (DVD-67C). Each question has only one most correct answer. Circle the letter corresponding

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

UTXQ512 SRAM Frequently Asked Questions

UTXQ512 SRAM Frequently Asked Questions UTXQ512 SRAM Frequently Asked Questions Introduction: The UTXQ512 are high-performance CMOS asynchronous static RAMs organized as 512K words by 8 bits. The following are questions frequently asked regarding

More information

3D Silicon Capacitors

3D Silicon Capacitors 3D Silicon Capacitors Integrated Passive Devices Product Line High Stability Silicon Capacitors Low Profile Silicon Capacitors High Temperature Silicon Capacitors Xtreme Temperature Silicon Capacitors

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Transactions of The Japan Institute of Electronics Packaging Vol. 6, No. 1, 13 [Technical Paper] Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Mutsumi Masumoto*, Yoshiyuki Arai*, **,

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

F R A U N H O F E R I N

F R A U N H O F E R I N FRAUNHOFER Institute FoR Electronic NAno systems ENAS System Packaging 1 2 3 4 5 The actual developments of micro and nano technologies are fascinating. Undoubted they are playing a key role in today s

More information

1 N star coupler as a distributed fiber-optic strain sensor in a white-light interferometer

1 N star coupler as a distributed fiber-optic strain sensor in a white-light interferometer 1 star coupler as a distributed fiber-optic strain sensor in a white-light interferometer Libo Yuan and Limin Zhou A novel technique of using a 1 star fiber optic coupler as a distributed strain sensor

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Supplementary Materials

Supplementary Materials Electronic Supplementary Material (ESI) or Nanoscale. This journal is The Royal Society o Chemistry 017 Supplementary Materials Eective electro-optic modulation in low-loss graphene-plasmonic slot waveguides

More information

Roll-to-roll Manufacturing in Electronics: Making it work!

Roll-to-roll Manufacturing in Electronics: Making it work! Roll-to-roll Manufacturing in Electronics: Making it work! IEEE CPMT lunch meeting Texas Instruments Conference Center, Santa Clara January 10, 2017 Peter Salmon, Presenter Outline Perspectives A glimpse

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC WAVEGUIDES Chin-ping Yu (1) and Hung-chun Chang (2) (1) Graduate Institute of Electro-Optical Engineering, National Taiwan University, Taipei,

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information