450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017

Size: px
Start display at page:

Download "450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017"

Transcription

1 450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 Effects of Thermocompression Bonding Parameters on Cu Pillar/Sn-Ag Microbump Solder Joint Morphology Using Nonconductive Films Hyeong Gi Lee, Ji-Won Shin, Yong-Won Choi, and Kyung-Wook Paik Abstract In this paper, wafer-level preapplied nonconductive films (NCFs) were used to interconnect the Cu pillar/sn-ag microbumps for 3-D through silicon via vertical interconnection. Thermocompression bonding is a common method to interconnect chips to substrates using NCFs, and thermocompression bonding time should be reduced to increase the bonding productivity. Therefore, isothermal bonding method without heating and cooling process in bonding profile was introduced to reduce the bonding time. Solder joints bonded by an isothermal bonding method were compared to those joints bonded by a conventional ramp-up bonding method that was consist of heating, bonding, and cooling process. Final joint gap was decreased using an isothermal bonding method due to higher heating rate, and solder joint morphology was also changed according to the final solder joint gap. Furthermore, solder joint should have enough contact area to substrate metal pads without solder wetting on the Cu pillar sidewall to avoid reliability problems by Sn consumption at the solder joint. Effects of isothermal bonding parameters were investigated in terms of the bonding pressure, temperature, and time to optimize the isothermal bonding parameters for good solder joint. As bonding pressure and bonding temperature increased, solder joint gap decreased because final joint gap was determined by bonding pressures and dynamic viscosity of NCFs. Isothermal bonding times can be reduced to 10 s, because the degree-of-cure of NCFs could be over 90% after 2.2 s. As a summary, solder joint bonded with NCFs using optimized isothermal bonding parameters showed excellent bump joint resistances and solder wetting on substrate metal pads. Index Terms Polymer films, soldering, through-silicon vias. I. INTRODUCTION CONVENTIONAL 2-D electronic packaging technologies have been evolved into the 3-D packaging technology to achieve higher performance and increased package density [1] [3]. Wire bonding interconnection method used in current 3-D package-on-package structure is facing the limitation, as the pitch of chips continuously decreased. Through silicon via (TSV) that forms the vertical path through the chips is one of a solution for various 3-D integration methods. By use of the TSV, electronic package can be further miniaturized, high performing, and multifunctional [4], [5]. As electronic Manuscript received June 20, 2016; revised October 15, 2016; accepted December 13, Date of publication January 17, 2017; date of current version March 14, Recommended for publication by Associate Editor M. Bakir upon evaluation of reviewers comments. The authors are with the Nano Packaging and Interconnect Laboratories, Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon , South Korea ( kwpaik@kaist.ac.kr). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCPMT Fig. 1. Bonding profiles of the conventional ramp-up and the isothermal bonding method. packaging technology advances, 3-D integration of chips in vertical direction became essential technology and TSV technologies have been studied in many applications such as 3-D NAND, high bandwidth memory, and application processors [6] [11]. Solder interconnection method using Cu pillar/sn bumps has been extensively used as an interconnection method for TSV chip-stacking. Cu pillar/sn bumps formed on a chip are interconnected onto substrate metal pads by a thermocompression bonding method. However, as the pitches of the bumps become finer below 40 μm, many problems occur such as remaining flux residue, void entrapment in underfilll materials due to limitation of capillary flow of underfill materials, and underfill overflow contamination. These problems interrupt the multichip stacking. As a solution for these problems, nonconductive films (NCFs) for TSV chipstacking applications become an effective solution. NCFs are preapplied B-stage-type films that act as void-less underfill, wafer-level applicable adhesive film. Furthermore, NCFs have flux ability [12], [13]. To interconnect a chip on a substrate using preapplied NCFs, conventional ramp-up thermocompression bonding is the most common method. However, conventional ramp-up bonding process takes significant bonding times, in this experiment about 300 s, due to slow heating rate of bonding tool and cooling process shown in Fig. 1. Conventional ramp-up bonding process consists of chip aligning, heating, bonding, IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 LEE et al.: EFFECTS OF THERMOCOMPRESSION BONDING PARAMETERS 451 Fig. 2. (a) Conventional ramp-up bonding. (b) Isothermal thermocompression bonding. (c) Isothermal thermocompression gang bonding with a large bonding tool. and cooling using flip chip bonder as shown in Fig. 1. However, isothermal bonding method using a hot bonding tool is an alternative bonding method for mass-production of preapplied NCF interconnection as shown in Fig. 2. Chip aligning process is normally conducted using a flip chip bonder in both conventional ramp-up and isothermal bonding, but bonding process is performed using a thermocompression bonder with a hot bonding tool. Thermocompression bonders require less precision and cheaper equipment than flip chip bonders. Furthermore, thermocompression bonders can be used as a gang bonder, which interconnect several aligned chips at once using larger bonding tool as shown in Fig. 2(c). By using the thermocompression gang bonder, bonding time and equipment cost are reduced significantly compared to a conventional ramp-up bonding method. To use isothermal bonding method for mass-production, effect of bonding method on solder interconnection should be investigated because heating rate of isothermal bonding method is much higher than conventional ramp-up bonding method. In previous studies, it was reported that the minimum viscosity of NCFs and the time to reach the minimum viscosity decreased as heating rate increased. As a result, final joint gap decreased as heating rate increased, and this relationship is described by 1 h(t) = 4F t 3π R 4 0 (t) t + 1 (1) h 2 0 where h(t) is final joint gap, h 0 is initial joint gap, F is bonding force, R is test vehicle constant, and η(t) is dynamic viscosity [14], [15]. Effects of the isothermal bonding method on solder joint morphology were investigated using the relationship between heating rate and final joint gap because isothermal bonding Fig. 3. (a) Curing and (b) viscous behaviors of NCFs used in this paper. method has higher heating rate than the conventional rampup bonding method. In order to use the isothermal bonding process, solder joints bonded by an isothermal bonding method should be well defined without any Cu pillar sidewall-wetting of solder. Insufficient solder contact area to Cu pad may cause the risk of early solder joint failure during thermal cycling test and Cu pillar sidewall-wetting accelerates Sn consumption at solder resulting in more intermetallic compound (IMC) and Kirkendall voids formation [16], [17]. Therefore, the effects of isothermal bonding parameters on the solder joint morphology should be investigated. II. EXPERIMENTS A. Materials Preparation NCFs were fabricated using epoxy resins, curing agents, and some additives. Flux additive was included in NCFs to remove the native solder oxides during the bonding process. The solution was ball-milled for two days to make a homogeneous resin. The resin was coated on a releasing film as 20-μm-thick film format using a comma roll coater. Fig. 3 shows the curing and viscous behavior of NCFs used in this paper. B. Test Vehicles The test vehicles were designed to measure the Cu pillar/sn-ag microbump joint contact resistance and

3 452 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 TABLE I ISOTHERMAL BONDING PARAMETERS Fig. 4. Photographs of (a) Cu pillar/sn-ag microbump and (b) Si substrate with Cu/Au pads. electrical stability. 10-μm-height Cu pillar and 10-μmthick Sn-Ag microbumps were electroplated on I/O pads of a wafer. Cu and Au metal pads were also electrodeposited on another Si wafer substrate having the test pattern to measure the contact and daisy chain resistances after bonding of a test chip on a substrate. The top chip with dimensions of 6 6 mm 2 and the substrate Si chip with dimension of mm 2 were used as shown in Fig. 4. The top chip with 80-μm-pitch Cu pillar/sn-ag microbump was used to investigate the effects of bonding method, and the top chip with 60-μm-pitch microbump was also used to optimize the bonding parameters. Daisy chains and single-joint contact resistances were formed in the test vehicle for the electrical evaluation. C. Degree-of-Cure Measurement To measure the degree-of-cure of NCFs, Fourier transform infrared (IR) spectroscopy was used. IR-absorbance peaks were obtained on the surface of the NCFs after bonding. The peak intensity of uncured resins and that of full-cured resin were used to calculate the degree-of-cure of partially cured resins. D. Bonding Profile The chips with preapplied NCFs were bonded using the conventional ramp-up bonding method with the heating rate of 5 C/s and the isothermal bonding method with heating rate of 31 C/s as shown in Fig. 1. In order to investigate the effects of bonding parameters on the solder joint morphology, various bonding pressure, temperature, and time were used. Table I shows the bonding parameters of this paper. Various bonding pressures of 30, 50, and 70 N were used at the target bonding temperature of 250 C for 15 s. Three bonding temperatures of 220 C, 250 C, and 280 C were also used at the fixed Fig. 5. Bonding temperature profiles as a function of (a) bonding temperatures and (b) bonding times. bonding pressure of 50 N to investigate the effect of bonding temperatures on the solder joint morphology. To investigate the effect of bonding times on the solder joint morphology, 10- and 15-s bonding were compared. Fig. 5 shows the actual isothermal bonding profile. III. RESULTS AND DISCUSSION The mechanism of solder joint formation by conventional ramp-up and isothermal bonding processes using NCFs was investigated with cross-sectional images of various temperatures. Fig. 6 shows cross-sectional views of solder joints using NCFs at 40 C, 140 C, 210 C, 230 C, and 250 C during the conventional ramp-up and isothermal bonding processes. At 40 C, solder bump was not contacted to the pad of substrate, and physical contact of solder bumps was observed at 140 C. Fig. 7 shows solder joint gap during the rampup and isothermal bonding processes. Solder joint gap was fixed before solder melting temperature and maintained until the end of the bonding process. In case of conventional rampup bonding method, 38 s were needed to reach the solder melting temperature. However, it takes 6.2 s to melt the solder in isothermal bonding method. The final joint gap of the isothermal bonding method was lower than that of the conventional ramp-up bonding method because final joint gap decreased as the heating rate increased. Fig. 8 shows degreeof-cure of NCF during the bonding processes. Solder joint gap was not further decreased over solder melting temperature, because the degree-of-cure of NCFs reached to almost 90% at the solder melting temperature. By isothermal bonding method using NCFs, stable solder joint was formed with shorter bonding time than conventional ramp-up bonding method. Fig. 9 shows the cross-sectional images of solder joints bonded with NCFs at the bonding pressure of 30, 50, and 70 N.

4 LEE et al.: EFFECTS OF THERMOCOMPRESSION BONDING PARAMETERS 453 Fig. 8. Degree-of-cure of NCFs during the conventional ramp-up bonding and isothermal bonding methods. Fig. 9. Cross-sectional views of solder joint bonded using the isothermal bonding method at 250 C for 15 s at the bonding pressures of (a) 30, (b) 50, and (c) 70 N. Fig. 6. Cross-sectional views of solder joints using a conventional rampup bonding method at (a) 40 C, (b) 140 C, (d) 210 C, (f) 230 C, and (h) 250 C after bonding and isothermal bonding method at (c) 140 C, (e) 210 C, (g) 230 C, and (i) 250 C after bonding. Fig. 10. Joint resistances and joint gaps of solder joint bonded using the isothermal bonding method at 250 C for 15 s at the bonding pressure of 30, 50,and70N. Fig. 7. Solder joint gap during the conventional ramp-up bonding and isothermal bonding methods. The isothermal bonding with a bonding temperature of 250 C was performed for 15 s. At the bonding pressure of 30 N, solder joint showed unstable contact to pad. However, the solder joints bonded at the bonding pressure of 50 and 70 N showed good wetting on pads. Fig. 10 shows the joint contact resistance and joint gap of solder joints using NCFs bonded at the bonding pressure of 30, 50, and 70 N by isothermal bonding method. Solder joints bonded at the bonding pressures of 50 and 70 N showed stable joint contact resistance of 4 m. The joint gap decreased as bonding pressures increased as Fig. 11. Cross-sectional views of solder joint bonded with NCFs at the bonding temperatures of (a) 220 C, (b) 250 C, and (c) 280 C. shown in (1) [14]. In terms of bonding pressure, solder joint bonded with NCFs at the bonding pressure of 50 N showed excellent electrical resistance and the well-defined solder wetting area. Fig. 11 shows the cross-sectional images of solder joints bonded with NCFs at the bonding temperatures of 220 C, 250 C, and 280 C. The joint contact resistances and joint gaps of solder joints using NCFs bonded at the bonding

5 454 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 Fig. 12. Joint contact resistances and joint gaps of solder joint bonded with NCFs at the bonding temperatures of 220 C, 250 C, and 280 C. Fig. 14. Joint resistances and joint gaps of solder joint bonded with NCFs at the bonding time of 10 and 15 s. time of 10 and 15 s showed stable joint contact resistance of 4 m and the same joint gap. Solder joints were almost same regardless of the isothermal bonding time because the degree-of-cure of NCFs exceeded 90% using an isothermal bonding method within 2.2 s. Fig. 13. Cross-sectional views of solder joint bonded with NCFs at the bonding times of (a) 10 and (b) 15 s. TABLE II HEATING RATE OF BONDING PROFILES OF 220 C, 250 C, AND 280 C temperatures of 220 C, 250 C, and 280 C by the isothermal bonding method were shown in Fig. 12. The solder joint bonded at the bonding temperature of 220 C formed small contact area to substrate pad and showed higher joint contact resistance than other bonding temperatures. The joint gap decreased as the bonding temperature increased because heating rate from the room temperature to the solder melting temperature increased as target bonding temperatures increased. The heating rates of isothermal bonding profiles of 220 C, 250 C, and 280 C are shown in Table II. In previous research, after the solder joint formation is completed, the thickness of the IMCs is highly dependent on the target bonding temperatures at the same bonding time [18]. As a result, the bonding temperature was optimized as the lower temperature of 250 C in terms of electrical bump contact resistance and solder joint morphology. Fig. 13 shows the cross-sectional images of solder joint bonded with NCFs at the isothermal bonding time of 10 and 15 s. In the case of joint resistances as shown in Fig. 14, solder joints bonded at the isothermal bonding IV. CONCLUSION In this paper, effects of bonding parameters on the Cu pillar/sn-ag microbumps solder joint morphology were investigated. Reducing the thermocompression bonding time is needed because the bonding time is closely related to bonding productivity. Conventional ramp-up bonding and isothermal bonding methods were compared in terms of bonding times and solder joint morphologies. Solder joint gaps of NCFs were maintained after physical contact of solder due to high degreeof-cure of NCFs at the solder melting temperature. Using an isothermal bonding method, good solder joint morphology can be obtained with reduced thermocompression bonding time. The effects of the isothermal bonding parameters were also investigated in terms of the bonding pressure, bonding temperature, and bonding time. As bonding pressures and temperatures increased, joint gaps decreased. The solder contact area to pad increased because the final joint gap was directly related to bonding pressures and dynamic viscosities. Bonding time using NCFs can be reduced to 10 s because the degreeof-cure of NCF exceeded 90% using an isothermal bonding method within 2.2 s. As a result, the solder joint bonded with NCFs using an isothermal bonding method at the bonding temperature of 250 C and bonding pressure of 50 N for 10 s showed good electrical property and solder wetting on substrate pads. REFERENCES [1] D. Choudhury, 3D integration technologies for emerging microsystems, in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp [2] P. Garrou, C. Bower, and P. Ramm, Handbook of 3D Integration Technology and Applications of 3D Integrated Circuits, vol. 1, [3] H. J. Lau, Overview and outlook of through-silicon via (TSV) and 3D integrations, Microelectron. Int., vol. 28, no. 2, pp. 8 22, 2001.

6 LEE et al.: EFFECTS OF THERMOCOMPRESSION BONDING PARAMETERS 455 [4] S. W. Yoon, D. W. Yang, J. H. Koo, M. Padmanathan, and F. Carson, 3D TSV processes and its assembly/packaging technology, in Proc. IEEE Int. Conf. 3D Syst. Integr. (3DIC), San Francisco, CA, USA, Sep. 2009, pp [5] M. Sadaka, I. Radu, and L. D. Cioccio, 3D integration: Advantages, enabling technologies & applications, in Proc. IEEE Int. Conf. Integr. Circuit Design Technol., Grenoble, France, Jun. 2010, pp [6] C.-Y. Lu, Future prospects of NAND flash memory technology The evolution from floating gate to charge trapping to 3D stacking, J. Nanosci. Nanotechnol., vol. 12, no. 10, pp , [7] K. Parat and C. Dennison, A floating gate based 3D NAND technology with CMOS under array, in Proc. IEEE Int. Electron Devices Meeting (IEDM), Washington, DC, USA, Dec. 2015, pp [8] K.-T. Park et al., Three-dimensional 128 Gb MLC vertical nand flash memory with 24-WL stacked layers and 50 MB/s highspeed programming, IEEE J. Solid-State Circuits, vol. 50, no. 1, pp , Jan [9] D. U. Lee et al., A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, San Francisco, CA, USA, Feb. 2014, pp [10] W.-S. Lee et al., A study on the effectiveness of underfill in the high bandwidth memory with TSV, in Proc. Int. Symp. Microelectron. Assembly Packag. Soc., 2013, vol no. 1, pp [11] Y. Guillou and A.-M. Dutron, 3D IC products using TSV for mobile phone applications: An industrial perpective, in Proc. Eur. Microelectron. Packag. Conf. (EMPC), Rimini, Italy, Jun. 2009, pp [12] Y. Choi, J. Shin, K.-L. Suk, Y. S. Kim, I. Kim, and K.-W. Paik, Analysis of 3D TSV vertical interconnection using pre-applied nonconductive Films, J. Electron. Mater., vol. 43, no. 11, pp , [13] H.-G. Lee, Y.-W. Choi, J.-W. Shin, and K.-W. Paik, Wafer-level packages using B-stage nonconductive films for Cu pillar/sn Ag microbump interconnection, IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 11, pp , Nov [14] J.-W. Shin, Y. S. Kim, H. G. Lee, U. B. Kang, S. K. Seo, and K.- W. Paik, Effects of thermo-compression bonding parameters on joint formation of micro-bumps in non-conductive film (NCF), in Proc. 65th IEEE Electron. Compon. Technol. Conf., May 2015, pp [15] A. Taluy et al., Wafer level underfill entrapment in solder joint during thermocompression: Simulation and experimental validation, in Proc. 63rd IEEE Electron. Compon. Technol. Conf., May 2013, pp [16] Z. Mei, M. Ahmad, M. Hu, and G. Ramakrishna, Kirkendall voids at Cu/solder interface and their effects on solder joint reliability, in Proc. 55th Electron. Conf. Technol. Conf., Jun. 2005, pp [17] K. Zeng, R. Stierman, T.-C. Chiu, D. Edwards, K. Ano, and K. N. Tu, Kirkendall void formation in eutectic SnPb solder joints on bare Cu and its effect on joint reliability, J. Appl. Phys., vol. 97, no. 2, pp , [18] S.-T. Lu, J.-Y. Juang, H.-C. Cheng, Y.-M. Tsai, T.-H. Chen, and W.-H. Chen, Effects of bonding parameters on the reliability of finepitch Cu/Ni/SnAg micro-bump chip-to-chip interconnection for threedimensional chip stacking, IEEE Trans. Device Mater. Rel., vol. 12, no. 2, pp , Jun Hyeong Gi Lee received the B.Sc. and M.Sc. degrees in materials science and engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2009 and 2011, respectively, where he is currently pursuing the Ph.D. degree in materials science and engineering. His current research interests include nonconductive films for bump interconnection. Ji-Won Shin received the B.Sc., M.Sc., and Ph.D. degrees from the Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2008, 2010, and 2015, respectively. His current research interests include study on nonconductive film for fine-pitch Cu-pillar/Sn-Ag bump interconnection. Yong-Won Choi received the B.Sc., M.Sc., and Ph.D. degrees from the Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2007, 2009, and 2014, respectively. His research topics were developing hybrid interconnection for 3-D-through silicon via using nonconductive adhesives and Cu/Sn double bump. His current research interests include developing advanced packaging technologies. Kyung-Wook Paik received the B.Sc. degree in metallurgical engineering from Seoul National University, Seoul, South Korea, in 1979, the M.Sc. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea, in 1981, and the Ph.D. degree in materials science and engineering from Cornell University, Ithaca, NY, USA, in He was a Research Scientist at KAIST from 1982 to 1985, and was involved in the development of gold bonding wires. He was a Senior Technical Staff Member of the Interconnect Multichip Module Technology and Power IC Packaging, General Electric Corporate Research and Development, Brookline, MA, USA, from 1989 to He rejoined the Department of Materials Science and Engineering, KAIST, as a Professor in 1995, where he is currently with the Nanopackaging and Interconnect Laboratory, and is involved in flip-chip bumping and assembly, adhesive flip-chips, embedded capacitors, and display packaging technologies. He was a Visiting Professor with the Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA, from 1999 to 2000, where he was involved in packaging education and integrated passives research programs. He joined Portland State University, Portland, OR, USA, in 2005, and was involved in flip-chip polymer materials evaluation. He has authored or coauthored over 80 technical papers, and holds 16 U.S. patents and four U.S. patents pending. Dr. Paik has been the Chairman of the Korean IEEE Components, Packaging and Manufacturing Technology Chapter since 1995 and is a member of the International Microelectronics and Packaging Society, SEMI, and MRS.

884 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 2, NO. 5, MAY 2012

884 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 2, NO. 5, MAY 2012 884 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 2, NO., MAY 212 Ultrasonic Bonding of Anisotropic Conductive Films Containing Ultrafine Solder Balls for High-Power and

More information

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Kiwon Lee, Hyoung Joon Kim, Il Kim, and Kyung Wook Paik Nano Packaging and Interconnect

More information

Thermal aspects of 3D and 2.5D integration

Thermal aspects of 3D and 2.5D integration Thermal aspects of 3D and 2.5D integration Herman Oprins Sr. Researcher Thermal Management - imec Co-authors: Vladimir Cherman, Geert Van der Plas, Eric Beyne European 3D Summit 23-25 January 2017 Grenoble,

More information

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air Materials Transactions, Vol. 49, No. 7 (28) pp. 18 to 112 Special Issue on Lead-Free Soldering in Electronics IV #28 The Japan Institute of Metals Effect of Surface Contamination on Solid-State Bondability

More information

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages K. N. Chiang Associate Professor e-mail: knchiang@pme.nthu.edu.tw C. W. Chang Graduate Student C. T. Lin Graduate Student

More information

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications 2017 IEEE 67th Electronic Components and Technology Conference Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications Qinghua Zeng, Yong Guan,

More information

Woon-Seong Kwon Myung-Jin Yim Kyung-Wook Paik

Woon-Seong Kwon   Myung-Jin Yim Kyung-Wook Paik Woon-Seong Kwon e-mail: wskwon@kaist.ac.kr Myung-Jin Yim Kyung-Wook Paik Department of Materials Science and Engineering Korea Advanced Institute of Science and Technology Daejon 305-701, Korea Suk-Jin

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH 2016 471 A Self-Aligning Flip-Chip Assembly Method Using Sacrificial Positive Self-Alignment Structures Hyung

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Study of Electromigration of flip-chip solder joints using Kelvin probes

Study of Electromigration of flip-chip solder joints using Kelvin probes Study of Electromigration of flip-chip solder joints using Kelvin probes Y. W. Chang and Chih Chen National Chiao Tung University, Department of Material Science & Engineering, Hsin-chu 30010, Taiwan,

More information

Characteristics of Thermosonic Anisotropic Conductive Adhesives (ACFs) Flip-Chip Bonding

Characteristics of Thermosonic Anisotropic Conductive Adhesives (ACFs) Flip-Chip Bonding Materials Transactions, Vol. 51, No. 10 (2010) pp. 1790 to 1795 Special Issue on Lead-Free and Advanced Interconnection Materials for Electronics #2010 The Japan Institute of Metals Characteristics of

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

Budapest, Hungary, September 2007 The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints

Budapest, Hungary, September 2007 The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints Dan Yang and Y. C. Chan* Department of Electronic Engineering, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon,

More information

Low Temperature Bonding of Pd/Ni Assembly for Hydrogen Purifier

Low Temperature Bonding of Pd/Ni Assembly for Hydrogen Purifier Proceedings of the 2 nd World Congress on Mechanical, Chemical, and Material Engineering (MCM'16) Budapest, Hungary August 22 23, 2016 Paper No. MMME 103 DOI: 10.11159/mmme16.103 Low Temperature Bonding

More information

SET Technical Bulletin

SET Technical Bulletin SET Technical Bulletin DIE BONDING APPLICATIONS An Innovative Die to Wafer 3D Integration Scheme: Die to Wafer Oxide or Copper Direct Bonding with Planarised Oxide Inter-Die Filling RF MEMS and Flip-Chip

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Solder Self-assembly for MEMS

Solder Self-assembly for MEMS Solder Self-assembly for MEMS Kevin F. Harsh, Ronda S. Irwin and Y. C. Lee NSF Center for Advanced Manufacturing and Packaging of Microwave, Optical and Digital Electronics, Department of Mechanical Engineering

More information

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

FEM Analysis on Mechanical Stress of 2.5D Package Interposers Hisada et al.: FEM Analysis on Mechanical Stress of 2.5D Package Interposers (1/8) [Technical Paper] FEM Analysis on Mechanical Stress of 2.5D Package Interposers Takashi Hisada, Toyohiro Aoki, Junko Asai,

More information

Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy

Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy 2017 IEEE 67th Electronic Components and Technology Conference Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy Yézouma D. Zonou, Stéphane Bernabé,

More information

Especial Bump Bonding Technique for Silicon Pixel Detectors

Especial Bump Bonding Technique for Silicon Pixel Detectors Especial Bump Bonding Technique for Silicon Pixel Detectors E. Cabruja, M. Bigas, M. Ullán, G. Pellegrini, M. Lozano Centre Nacional de Microelectrònica Spain Outline Motivation Summary of bump bonding

More information

Electrical Conductive Adhesives with Nanotechnologies

Electrical Conductive Adhesives with Nanotechnologies Yi Li Daniel Lu C.P. Wong Electrical Conductive Adhesives with Nanotechnologies Springer 1 Introduction 1 1.1 Electronics Packaging and Interconnect 1 1.2 Interconnection Materials 11 1.2.1 Lead-Free Interconnect

More information

Failure Mechanism for fine pitch micro bump in Cu/Sn/Cu system during Current Stressing

Failure Mechanism for fine pitch micro bump in Cu/Sn/Cu system during Current Stressing Failure Mechanism for fine pitch micro bump in Cu/Sn/Cu system during Current Stressing Hsiao Hsiang Yao, Alastair David Trigg, and Chai Tai Chong Institute of Microelectronics, A*STAR (Agency for Science,

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

The Effect of Thermal Pad Patterning on QFN Voiding

The Effect of Thermal Pad Patterning on QFN Voiding The Effect of Thermal Pad Patterning on QFN Voiding Authored by: Derrick Herron, Dr. Yan Liu, and Dr. Ning-Cheng Lee. Abstract Voiding under QFNs is a major challenge in the electronics industry. However,

More information

Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC

Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC Subin Kim 1 and Joungho Kim a Department of Electrical Engineering, Korea

More information

Deformation of solder joint under current stressing and numerical simulation II

Deformation of solder joint under current stressing and numerical simulation II International Journal of Solids and Structures 41 (2004) 4959 4973 www.elsevier.com/locate/ijsolstr Deformation of solder joint under current stressing and numerical simulation II Hua Ye *, Cemal Basaran,

More information

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging Chih-Tang Peng 1, Chang-Ming Liu 1, Ji-Cheng Lin 1, Kuo-Ning Chiang E-Mail: Knchiang@pme.nthu.edu.tw Department

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 3, MARCH 2016 1225 Full-Chip Power Supply Noise Time-Domain Numerical Modeling and Analysis for Single and Stacked ICs Li Zheng, Yang Zhang, Student

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Transactions of The Japan Institute of Electronics Packaging Vol. 6, No. 1, 13 [Technical Paper] Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Mutsumi Masumoto*, Yoshiyuki Arai*, **,

More information

Optimization of Anisotropic Conductive Film Bonding for Improving the Quality of the Image in Vision Inspection

Optimization of Anisotropic Conductive Film Bonding for Improving the Quality of the Image in Vision Inspection International Journal of Applied Engineering Research ISSN 973-462 Volume 12, Number 24 (217) pp. 199-163 Optimization of Anisotropic Conductive Film Bonding for Improving the Quality of the Image in Vision

More information

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 3, SEPTEMBER

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 3, SEPTEMBER IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 3, SEPTEMBER 2015 319 Analysis of Intrinsic Charge Loss Mechanisms for Nanoscale NAND Flash Memory Jun Yeong Lim, Student Member, IEEE,

More information

Next-Generation Packaging Technology for Space FPGAs

Next-Generation Packaging Technology for Space FPGAs Power Matters. Next-Generation Packaging Technology for Space FPGAs Microsemi Space Forum Russia November 2013 Raymond Kuang Director of Packaging Engineering, SoC Products Group Agenda CCGA (ceramic column

More information

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 Postdoctoral Research Associate Center for Low Energy Systems Technology (LEAST), Department of Electrical Engineering University of Notre Dame, B20

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Flexible nonvolatile polymer memory array on

Flexible nonvolatile polymer memory array on Supporting Information for Flexible nonvolatile polymer memory array on plastic substrate via initiated chemical vapor deposition Byung Chul Jang, #a Hyejeong Seong, #b Sung Kyu Kim, c Jong Yun Kim, a

More information

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Introduction There are many sensor effects... but only a a very few sensors! Outline CMOS Encapsulated CMOS/MEMS

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Effect of under-bump-metallization structure on electromigration of Sn-Ag solder joints

Effect of under-bump-metallization structure on electromigration of Sn-Ag solder joints Advances in Materials Research, Vol. 1, No. 1 (2012) 83-92 83 Effect of under-bump-metallization structure on electromigration of Sn-Ag solder joints Hsiao-Yun Chen, Min-Feng Ku and Chih Chen* Department

More information

Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique

Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique Jung Woon Lim, Hwe Jong Kim, Seon Hoon Kim and Byung Sup Rho Korea Photonics Technology Institute

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

>1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene

>1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene Supporting Information >1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene Min-Ho Seo, Jae-Hyeon Ko, Jeong Oen Lee,, Seung-Deok Ko,, Jeong Hun Mun, Byung Jin Cho, Yong-Hyun

More information

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE JEROEN JALINK 8 JUNI 2016 MICROELECTRONICS RELIABILITY 54 (2014) 1988 1994 Contents Introduction NXP Package form factor Failure mechanism

More information

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 1 Introduction Cause of Soft errors a. Ion creates electron hole pairs

More information

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr Stress in Flip-Chip Bumps due to Package Warpage -- Matt Pharr Introduction As the size of microelectronic devices continues to decrease, interconnects in the devices are scaling down correspondingly.

More information

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer 3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer Makoto Takamiya 1, Koichi Ishida 1, Koichi Takemura 2,3, and Takayasu Sakurai 1 1 University of Tokyo, Japan 2 NEC Corporation,

More information

Modeling and optimization of noise coupling in TSV-based 3D ICs

Modeling and optimization of noise coupling in TSV-based 3D ICs LETTER IEICE Electronics Express, Vol.11, No.20, 1 7 Modeling and optimization of noise coupling in TSV-based 3D ICs Yingbo Zhao, Yintang Yang, and Gang Dong a) School of Microelectronics, Xidian University,

More information

Assessment of Current Density Singularity in Electromigration of Solder Bumps

Assessment of Current Density Singularity in Electromigration of Solder Bumps Assessment of Current Density Singularity in Electromigration of Solder Bumps Pridhvi Dandu and Xuejun Fan Department of Mechanical Engineering Lamar University PO Box 10028, Beaumont, TX 77710, USA Tel:

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Fluxless Soldering in Activated Hydrogen Atmosphere

Fluxless Soldering in Activated Hydrogen Atmosphere Fluxless Soldering in Activated Hydrogen Atmosphere C. Christine Dong1*, Richard E. Patrick1, Russell A. Siminski 1, and Tim Bao 2 1 Air Products and Chemicals, Allentown, PA 18195-1501, USA 2 Air Products

More information

314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY Wei Tan, I. Charles Ume, Ying Hung, and C. F. Jeff Wu

314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY Wei Tan, I. Charles Ume, Ying Hung, and C. F. Jeff Wu 314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY 2010 Effects of Warpage on Fatigue Reliability of Solder Bumps: Experimental and Analytical Studies Wei Tan, I. Charles Ume, Ying Hung,

More information

Electrical connection network within an electrically conductive adhesive

Electrical connection network within an electrically conductive adhesive Electrical connection network within an electrically conductive adhesive D.Busek, P. Mach Department of Electrotechnology, Faculty of Electrical Engineering Technická 2, 166 27 Prague, Czech Republic,

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES As originally published in the SMTA Proceedings ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES Tiao Zhou, Ph.D. Southern Methodist University Dallas, TX, USA tiaoz@smu.edu Zhenxue Han, Ph.D. University

More information

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group EV Group Enabling processes for 3D interposer Dr. Thorsten Matthias EV Group EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment

More information

MICROCSP is an ADI wafer level chip scale package, the

MICROCSP is an ADI wafer level chip scale package, the IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 28, NO. 3, SEPTEMBER 2005 441 Effect of Geometry and Temperature Cycle on the Reliability of WLCSP Solder Joints Satish C. Chaparala, Brian

More information

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards [Technical Paper] Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards Motoaki Tani*, Shinya Sasaki*, and Keisuke Uenishi** *Next-Generation Manufacturing Technologies Research Center,

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 8, AUGUST

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 8, AUGUST IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 8, AUGUST 2015 1075 Impact of Copper Through-Package Vias on Thermal Performance of Glass Interposers Sangbeom Cho,

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration 2016-06-15, Chemnitz Chemnitz University of Technology Basic Research Fraunhofer ENAS System-Packaging (SP) Back-End of Line (BEOL) Applied

More information

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs Analysis of -to- Coupling with -Impedance Termination in 3D ICs Taigon Song, Chang Liu, Dae Hyun Kim, and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology, U.S.A.

More information

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films Journal of Sol-Gel Science and Technology 13, 409 413 (1998) c 1998 Kluwer Academic Publishers. Manufactured in The Netherlands. Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid

More information

Model of power InAlN/GaN HEMT for 3-D Electrothermal Simulations

Model of power InAlN/GaN HEMT for 3-D Electrothermal Simulations Faculty of Electrical Engineering and Information Technology Model of power InAlN/GaN HEMT for 3-D Electrothermal Simulations Aleš Chvála, Juraj Marek, Patrik Príbytný, Alexander Šatka and Daniel Donoval

More information

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING THERMAL SCIENCE, Year 2017, Vol. 21, No. 4, pp. 1601-1606 1601 AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING by Kang-Jia WANG a,b, Hong-Chang

More information

Study of Solder Ball Bump Bonded Hybrid Silicon Pixel Detectors at DESY

Study of Solder Ball Bump Bonded Hybrid Silicon Pixel Detectors at DESY Study of Solder Ball Bump Bonded Hybrid Silicon Pixel Detectors at DESY S. Arab, S. Choudhury, G. Dolinska, K. Hansen, I. Korol, H. Perrey, D. Pitzl, S. Spannagel ( DESY Hamburg ) E. Garutti, M. Hoffmann,

More information

Degradation Mechanisms of Amorphous InGaZnO Thin-Film Transistors Used in Foldable Displays by Dynamic Mechanical Stress

Degradation Mechanisms of Amorphous InGaZnO Thin-Film Transistors Used in Foldable Displays by Dynamic Mechanical Stress 170 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 64, NO. 1, JANUARY 2017 Degradation Mechanisms of Amorphous InGaZnO Thin-Film Transistors Used in Foldable Displays by Dynamic Mechanical Stress Sang Myung

More information

Electromigration issues in lead-free solder joints

Electromigration issues in lead-free solder joints J Mater Sci: Mater Electron (2007) 18:259 268 DOI 10.1007/s10854-006-9020-8 Electromigration issues in lead-free solder joints Chih Chen Æ S. W. Liang Published online: 8 September 2006 Ó Springer Science+Business

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information

Thermal Dissipation in Bonded Structures

Thermal Dissipation in Bonded Structures Thermal Dissipation in Bonded Structures Rajiv V. Joshi,T. Smy 1, K. Banerjee 2, A. Topol IBM T. J. Watson Research Center Yorktown Heights, NY 1 University of Carleton, Ottawa, Canada 2 University of

More information

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch J. Lau, Z. Mei, S. Pang, C. Amsden, J. Rayner and S. Pan Agilent Technologies, Inc. 5301 Stevens

More information

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD N.BhargavaRamudu 1, V. Nithin Kumar Varma 2, P.Ravi kiran 3, T.Venkata Avinash 4, Ch. Mohan Sumanth 5, P.Prasanthi 6 1,2,3,4,5,6

More information

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC ttarter@pkgscience.com INTRODUCTION Irrespective of if a device gets smaller, larger, hotter or cooler, some method

More information

IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 25, NO. 3, JUNE

IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 25, NO. 3, JUNE IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 25, NO. 3, JUNE 2015 6602705 Investigation of ReBCO Conductor Tape Joints for Superconducting Applications Nadezda Bagrets, Andrea Augieri, Giuseppe

More information

The Effect of Flux on the Wetting of Autocatalytic Ni-Cu-P by In-Sn Solder

The Effect of Flux on the Wetting of Autocatalytic Ni-Cu-P by In-Sn Solder The Effect of Flux on the Wetting of Autocatalytic Ni-Cu-P by In-Sn Solder The Effect of Flux on the Wetting of Autocatalytic Ni-Cu-P by In-Sn Solder Kwang-Lung Lin and Chun-Jen Chen Department of Materials

More information

Thermal Management In Microelectronic Circuits

Thermal Management In Microelectronic Circuits Thermal Management In Microelectronic Circuits Prakash Bhartia*, C.M., Ph.D., F.R.S.C. Natel Engineering Co., Inc. 9340 Owensmouth Avenue Chatsworth, CA 91311-6915 Phone: (818) 734-6500 www.natelengr.com

More information

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES Tomomi Murakami 1*, Takashi Fukada 1 and Woo Sik Yoo 2 1 WaferMasters Service Factory, 2020-3 Oaza Tabaru, Mashiki, Kamimashiki,

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Analysis and Measurement of Forces in an Electrowetting-Driven Oscillator

Analysis and Measurement of Forces in an Electrowetting-Driven Oscillator Mater. es. Soc. Symp. Proc. Vol. 15 8 Materials esearch Society 15-DD8-1 Analysis and Measurement of Forces in an Electrowetting-Driven Oscillator Nathan Brad Crane 1, Alex A Volinsky 1, Vivek amadoss

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Sensitivity analysis on the fatigue life of solid state drive solder joints by the finite element method and Monte Carlo simulation

Sensitivity analysis on the fatigue life of solid state drive solder joints by the finite element method and Monte Carlo simulation https://doi.org/10.1007/s00542-018-3819-0 (0456789().,-volV)(0456789().,-volV) TECHNICAL PAPER Sensitivity analysis on the fatigue life of solid state drive solder joints by the finite element method and

More information

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B PRODUCT FAMILY SPEFICIFATION SCB10H Series Pressure Elements SCB10H Series Pressure Elements Doc. No. 82 1250 00 B Table of Contents 1 General Description... 3 1.1 Introduction... 3 1.2 General Description...

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

Electromigration Immortality of Purely Intermetallic Micro -bump for 3D Integration

Electromigration Immortality of Purely Intermetallic Micro -bump for 3D Integration Electromigration Immortality of Purely Intermetallic Micro -bump for 3D Integration Hsiao-Yun Chen,, Chih-Hang Tung, Yi-Li Hsiao, Jyun-lin Wu, Tung-Ching Yeh, Larry Liang-Chen Lin, and Chih Chen 1 Douglas

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

WITH increasing demand on higher resolution display

WITH increasing demand on higher resolution display IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 33, NO. 2, APRIL 2010 77 Measuring Manufacturing Yield for Gold Bumping Processes Under Dynamic Variance Change W. L. Pearn, Y. T. Tai, and

More information

Study of Capacitive Tilt Sensor with Metallic Ball

Study of Capacitive Tilt Sensor with Metallic Ball Study of Capacitive Tilt Sensor with Metallic Ball Chang Hwa Lee and Seung Seob Lee In this paper, a new, simple capacitive tilt sensor with a metallic ball is proposed. The proposed tilt sensor has only

More information

Key words Lead-free solder, Microelectronic packaging, RF packaging, RoHS compliant, Solder joint reliability, Weibull failure distribution

Key words Lead-free solder, Microelectronic packaging, RF packaging, RoHS compliant, Solder joint reliability, Weibull failure distribution Solder Joint Reliability Assessment for a High Performance RF Ceramic Package Paul Charbonneau, Hans Ohman, Marc Fortin Sanmina Corporation 500 Palladium Dr. Ottawa, Ontario K2V 1C2 Canada Ph: 613-886-6000;

More information

Adhesion and Reliability of Anisotropic Conductive Films (ACFs) Joints on Organic Solderability Preservatives (OSPs) Metal Surface Finish

Adhesion and Reliability of Anisotropic Conductive Films (ACFs) Joints on Organic Solderability Preservatives (OSPs) Metal Surface Finish Journal of ELECTRONIC MATERIALS, Vol. 37, No. 7, 2008 DOI: 10.1007/s11664-008-0397-4 Ó 2008 TMS Regular Issue Paper Adhesion and Reliability of Anisotropic Conductive Films (ACFs) Joints on Organic Solderability

More information

Postprint.

Postprint. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 29th IEEE International Conference on Micro Electro Mechanical Systems, MEMS 2016, Shanghai, China, 24 January

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1065 Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes Hyunsoo Kim, Seong-Ju Park, and Hyunsang Hwang Abstract

More information

A Micromechanics-Based Vapor Pressure Model in Electronic Packages

A Micromechanics-Based Vapor Pressure Model in Electronic Packages X. J. Fan 1 Philips Research USA, 345 Scarborough Road, Briarcliff Manor, NY 10510 e-mail: xuejun.fan@ieee.org J. Zhou Department of Mechanical Engineering, Lamar University, Beaumont, TX 77710 e-mail:

More information