Thermal aspects of 3D and 2.5D integration

Size: px
Start display at page:

Download "Thermal aspects of 3D and 2.5D integration"

Transcription

1 Thermal aspects of 3D and 2.5D integration Herman Oprins Sr. Researcher Thermal Management - imec Co-authors: Vladimir Cherman, Geert Van der Plas, Eric Beyne European 3D Summit January 2017 Grenoble, France

2 Introduction 3D integration is a promising technology for integrated circuit design: Small form factor and shorter interconnect lengths Allows heterogeneous integrations Higher IO density than 2D packaging solutions Thermal issues of 3D integration: Vertical integration of different layers Low thermal conductivity materials Thinned stacked dies Strong thermal coupling between components in 3D stack: components heat up due to power generation in other chips in the die stack Innovative cooling solutions discussed in other presentations of this session H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

3 Introduction This presentation: learnings from test vehicle data on thermal aspects of 3D integration scenarios Comparison 3D stacking vs integration on interposer Memory Logic Logic Memory 3D-SIC package Interposer package Assessing thermal impact of stacking options: die-to-die/die-to-wafer vs wafer-to-wafer bonding 1.8 µm pitch Top Wafer 540 nm 1260 nm Bottom Wafer 2 µm H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

4 Thermal impact of packaging configuration: 3D vs 2.5D integration

5 PTCQ test vehicle Dedicated CMOS test chip developed for thermal and mechanical analysis of 3D integration aspects Test chip features: 32 x 32 array of temperature sensors full chip map Programmable power dissipation map custom power map Steady state and transient analysis Detailed validation of thermal models 8 mm x 8 mm test chip IMEC 3D 65nm CMOS IC 3D + IMEC Si Interposer Interposer H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

6 Test packages 3D-SIC packages Si interposer packages Die 1: 200µm Die 2: 50µm Die 1 Die 2 14 x 14 mm 2 FCBGA 35 x 35 mm 2 FCBGA PTCQ 200 µm Interposer 100 µm Molded packages Bare die packages Lidded packages Bare die packages H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

7 Measurement environment Different experimental setups to cover range of low power and high power applications Measurement set-up designed to handle high currents (100A) Measurement of actual dissipated power in package Low power applications Socket cover Spacer High power applications BGA Socket 1. Socket without cooling 2. Socket with fan H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

8 Steady state test case Emulation of memory on logic application using 3D package with two thermal test chips: Logic die with 8 cores (1x1.5 mm 2 ); 1W total power dissipation Memory die: no power dissipation in this study Comparison for both low power and high power configurations Memory Logic power density p Logic 3D-SIC package 0 W/cm 2 Logic Memory 10 W/cm 2 Interposer package H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

9 High power applications Measured temperature increase (K/W) in high power socket Bare die interposer package 1.5 Heated die Neighboring die 1 Bare die 3D package Heated bottom die Top die H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

10 Low power applications Measured temperature increase (K/W) in low power socket Lidded die interposer package Heated die Neighboring die Molded die 3D package Heated bottom die 8 Top die H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

11 Measured temperature profiles Norm. temp. inecrease (K/W) Logic Memory 3D-SIC LP Distance (mm) Norm. temp. increase (K/W) Logic Memory Interposer LP Distance (mm) Norm. temp. inecrease (K/W) Logic Memory 3D-SIC HP Distance (mm) Norm. temp. increase (K/W) Logic Memory Interposer HP Distance (mm) H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

12 Steady state analysis The logic temperature is 35% lower in the interposer package The memory temperature is 45% and 65% lower in the interposer package for the low power and power package respectively compared to the 3D package. The memory temperature distribution in the interposer package does not depend on the logic power distribution pattern. Much stronger thermal coupling observed in 3D-package compared to interposer package Temperature profile transferred from heated die to other die in package Higher temperature level in other die Lower overall temperature in interposer package due to larger footprint H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

13 Transient analysis Monitoring of temperature evolution as function of time for heating curve Test case: Power dissipation in 1x1 mm 2 hot spot 3D package in LP socket Interposer in LP socket 16.1ºC/W Temp. increase (ºC/W) Active bottom die Temperature increase (ºC/W) Active die 1 PTCQ power map Passive top die Passive die 2 H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

14 Transient analysis PTCQ package Large thermal capacitance of plastic socket and natural convection boundary condition Long time required for steady state: more than ½ hour Successful measurements of complete time by combining measurements with different time scales: 1s heating time ½ hour heating time Thermal delay in package measured: Within heated chip (center HS vs corner) Between two chips Temperature increase (ºC/W) HS Active - 1s HS-Active s HS - Passive - Comb. data Corner - Active - Comb. data Corner - Passive - Comb. data Chip level effects Package level and boundary condition effects 0 1E-5 1E-4 1E-3 1E-2 1E-1 1E+0 1E+1 1E+2 1E+3 1E+4 Time (s) H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

15 Benchmarking transient thermal behavior Longer heating time observed for interposer package compared to 3D package Longer thermal delay between active and passive die on interposer package For the same maximum allowed temperature, a longer power pulse with the same power, or a higher power pulse with the same duration can be applied in the interposer package compared the 3D package Temp. increase ( C/W) 35 3D LP, active die 30 3D LP, passive die Interposer, active die 25 Interposer, passive die E-5 1E-4 1E-3 1E-2 1E-1 1E+0 1E+1 1E+2 1E+3 1E+4 Time (s) H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

16 Stacking options: Die-to-die vs wafer-to-wafer

17 Inter-tier interface impact Large impact of inter-tier thermal resistance on overall thermal resistance in case of multi-tier stack Estimation of contribution for N-die stack: # of die % of total R th Comparison of test vehicle data for different interfaces: PTCQ die stack with µbumps and underfill material PTCS wafer pair: hybrid Cu/dielectric bonding H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

18 PTCQ die-die thermal resistance Top die Bottom die Measured temperature difference (K/W) Quasi 1D heat flow in bare die packages in high power socket Temperature difference between bottom and top die at diode location die is an indication for the inter die thermal resistance Pointwise die die thermal resistance R die-die distribution H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

19 PTCQ die-stack interface µbump properties: 40µm pitch 13µm stand off Cu pads 5µm thick Top pad Ø15µm, bottom pad Ø25µm, 3µm CuSn IMC Temperature difference between bottom and top die at diode location die is an indication for the inter die thermal resistance Total die-die thermal resistance: 8.3mm 2 -K/W Extracted thermal resistance of µbump underfill layer after subtraction of BEOL and passivation impact: 4.2 mm 2 -K/W H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

20 Inter die thermal resistance (mm 2 K/W) Extrapolation Reduce thermal resistance of µbump / underfill layer: Increase bump fill fraction: add dummy bumps, larger bumps or finer pitch Increase underfill thermal conductivity Decrease stand-off No UF PTCQ measurement 1 W/m.K 0.2 W/m.K 2 W/m.K 0.4 W/m.K BEOL + passivation Stand-off height (µm) Extrapolation using calibrated model for underfill conductivity and stand-off height and bump pitch Trade-off between stand-off height and thermal conductivity Stand-off height reduction shows potential for thermal resistance reduction in die-die interfaces in the stack H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

21 Die die thermal resistance UF conductivity and bump geometry impact Inter die thermal resistance (mm 2.K/W) FC - 140um µbmp - 10µm µbump - 40µm µbump - 20µm W2W - 0.5µm BEOL-pass Underfill conductivity (W/m.K) Same data plotted for specific bump configurations Above certain conductivity value, little additional gain (µm) Pitch Pad Stand-off height FC µb /15 13 µb / µb / W2W H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

22 Hybrid bonding introduction Wafer to wafer bonding options: Hybrid Cu/dielectric bonding Wafer 1 Wafer 1 Wafer 1 Dielectric bonding Wafer 1 Wafer 2 Wafer 2 Wafer 2 Wafer 2 W2W aligned hybrid bonding Wafer thinning, TSV reveal, and RDL W2W aligned dielectric bonding Wafer thinning, Via-last TSV process, and RDL Vias processed before bonding Considered in this presentation Vias processed after bonding H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

23 Hybrid bonding test vehicle PTCS Passive test vehicle for wafer-to-wafer bonding characterization Test structures designed in BEOL M1 layer of top and bottom 3.6 µm and 1.8 µm pitch 1.3 µm interface thickness Schematic cross-section wafer pair: Top Wafer 3.6 µm pitch 900 nm 2700 nm Top wafer 50 µm P1 TSV M1 Top 2 µm 1.8 µm pitch Top Wafer 540 nm 1260 nm Bottom wafer P1 Bot M1 Bot Bottom Wafer 2 µm H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

24 Thermal test structures Passive test chip (1 metal layer BEOL with test structures for connection yield, electrical tests, RF, electro-migration, thermal characterization, bonding overlay tolerance and reliability Resistive structures in top and bottom wafer 100 x 100 µm heater Thermal structures Heater region 30 x 8.5 µm Sensor 26 x 14 mm 2 test chip H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

25 Thermal resistance map of wafer pair Die-die interface resistance (mm 2 -K/W) ± 0.1 mm 2.K/W H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

26 PTCS inter-tier thermal resistance Low on wafer variability: very consistent measurements for the 65 measured dies Die die interface based on target thickness values: Die-die interface thickness: 1.3 µm Thermal resistance mm 2 -K/W Value for oxide only, no impact of pads Extracted resistance: mm 2 -K/W Difference due to interface contact resistance and thickness variations Impact of additional annealing step to be studied 1.3 µm Cu Cross section Si PMD PMD Si Cu 120nm SiCN 500 nm SiO 2 5/25 nm SiCN/SiCO H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

27 Benchmarking (1) Die-to-die stacking µbumps Wafer-to-wafer hybrid bonding Top Wafer 1.8 µm pitch 540 nm 1260 nm Bottom Wafer 2 µm PTCQ test vehicle 40 µm pitch Cu/Sn µbumps 13 µm stand off PTCS test vehicle 3.6 / 1.8 µm pitch Cu pads 1.3 µm stand off UF/µbump layer R th Dielectric layer R th 4.5 mm 2 -K/W 1.2 mm 2 -K/W 4x reduction of the die die thermal resistance observed for W2W hybrid bonding compared to die stacking H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

28 Benchmarking (2) Cu-Cu direct bonding Wafer-to-wafer hybrid bonding Top Wafer 1.8 µm pitch 540 nm 1260 nm 10um 5um 25um Top tier Bottom tier Cu-Cu bonding Bottom Wafer 2 µm 3D130c test vehicle 10 µm pitch Cu bonds 700 nm thick BCB layer Dielectric layer R th 2.8 mm 2 -K/W PTCS test vehicle 3.6 / 1.8 µm pitch Cu pads 1.3 µm stand off Dielectric layer R th 1.2 mm 2 -K/W Not only impact of thickness, also of thermal conductivity: lower thermal resistance for anorganic material compared to organic H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

29 Benchmarking with literature data Die-die interface µbumps with underfill [1] µ-solder joints with underfill [2] Stand-off height Pitch Die-die thermal resistance Interface properties 50 µm 8.0 mm 2 -K/W Underfill conductivity µm 71 µm 15.5 mm 2 -K/W W/m-K 100 µm 19.0 mm 2 -K/W 15.6 mm 2 -K/W Capillary underfill 0.4 W/m-K 12.1 mm 60 µm 50 µm 2 Neck-based underfill 0.7 -K/W W/m-K 4.8 mm 2 Percolating underfill 2.8 W/m- -K/W K CuSn µbumps with underfill 13 µm 40 µm 4.2 mm 2 -K/W Cu-Cu bonds with BCB [3] 700 nm 20 µm 2.8 mm 2 -K/W µc4 joints [4] Modeling results 7.5 µm Hybrid Cu / dielectric bonding 1.3 µm No flow underfill with silica particles 0.4 W/m-K Organic adhesive (BCB) 0.29 W/m-K 50 µm 2.88 mm 2 -K/W Modeling results for an 20 µm 2.75 mm 2 -K/W underfill material with thermal 10 µm 2.70 mm 2 -K/W conductivity 0.4 W/m-K 3.6/1.8 µm 1.2 mm 2 -K/W Impact of SiO 2 dielectric (inorganic) material only H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

30 Benchmarking references 1. Colgan, E.G., Andry, P., Dang, B., Magerlein, J.H., Maria, J., Polastre, R.J., and Wakil, J., 2012, Measurement of Microbump Thermal Resistance in 3D Chip Stacks, Proc. IEEE 28 th Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM), pp Brunschwiler, T., Goicochea, J., Matsumoto, K., Wolf, H., Kumin, C., Michel, B. Wunderle, B. and Faust, W., 2011 Formulation of percolating thermal underfill by sequential convective gap filling, Proc. IMAPS 2011, Phoenix, AZ, USA, p Oprins, H., Cherman, V., Vandevelde, B., Torregiani, C., Stucchi, M., Van der Plas, G., Marchal, P., and Beyne, E. 2011, "Characterization of the thermal impact of Cu-Cu bonds achieved using TSVs on hot spot dissipation in 3D stacked ICs," 61 st IEEE Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, pp Matsumoto, K. and Taira, Y., 2009, Thermal Characterization of a Three-Dimensional (3D) Chip Stack, Trans JIEP, 2(1),pp H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

31 Summary and conclusions

32 Impact of packaging configuration: Stackable PTCQ test chip with integrated heaters and sensors presented that allows application of a user-defined power map in both tiers and scanning the temperature of the full chip surface Interposer configuration has superior thermal performance in steady state and transient regime compared to 3D stacked package, at the cost of larger package footprint. Impact of inter-tier interface and stacking options: Hybrid W2W is a promising technique to reduce the inter-tier thermal resistance by reducing the stand-off, and by using an anorganic material 4X improvement compared to 40 µm pitch µbump interface for die-to-die stacking H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

33 Questions? H. Oprins Thermal aspects of 3D integration European 3D Summit Jan. 25,

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

FEM Analysis on Mechanical Stress of 2.5D Package Interposers Hisada et al.: FEM Analysis on Mechanical Stress of 2.5D Package Interposers (1/8) [Technical Paper] FEM Analysis on Mechanical Stress of 2.5D Package Interposers Takashi Hisada, Toyohiro Aoki, Junko Asai,

More information

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC ttarter@pkgscience.com INTRODUCTION Irrespective of if a device gets smaller, larger, hotter or cooler, some method

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

Stacked Chip Thermal Model Validation using Thermal Test Chips

Stacked Chip Thermal Model Validation using Thermal Test Chips Stacked Chip Thermal Model Validation using Thermal Test Chips Thomas Tarter Package Science Services ttarter@pkgscience.com Bernie Siegal Thermal Engineering Associates, Inc. bsiegal@thermengr.net INTRODUCTION

More information

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group EV Group Enabling processes for 3D interposer Dr. Thorsten Matthias EV Group EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES

THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES Krishnamoorthi.S, *W.H. Zhu, C.K.Wang, Siew Hoon Ore, H.B. Tan and Anthony Y.S. Sun. Package Analysis and Design Center United

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

Next-Generation Packaging Technology for Space FPGAs

Next-Generation Packaging Technology for Space FPGAs Power Matters. Next-Generation Packaging Technology for Space FPGAs Microsemi Space Forum Russia November 2013 Raymond Kuang Director of Packaging Engineering, SoC Products Group Agenda CCGA (ceramic column

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Localized TIM Characterization Using Deconstructive Analysis

Localized TIM Characterization Using Deconstructive Analysis Localized TIM Characterization Using Deconstructive Analysis By Phillip Fosnot and Jesse Galloway Amkor Technology, Inc. 1900 South Price Road Chandler, AZ 85286 Phillip.Fosnot@amkor.com Abstract Characterizing

More information

Boundary Condition Dependency

Boundary Condition Dependency Boundary Condition Dependency of Junction to Case Thermal Resistance Introduction The junction to case ( ) thermal resistance of a semiconductor package is a useful and frequently utilized metric in thermal

More information

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC)

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) ZAINUDIN KORNAIN 1, AZMAN JALAR 2,3, SHAHRUM ABDULLAH 3, NOWSHAD

More information

Thermal Characterization and Simulation of a fcbga-h device

Thermal Characterization and Simulation of a fcbga-h device Thermal Characterization and Simulation of a fcbga-h device Eric Ouyang, Weikun He, YongHyuk Jeong, MyoungSu Chae, SeonMo Gu, Gwang Kim, Billy Ahn STATS ChipPAC Inc Mentor Graphics Company Email: eric.ouyang@statschippac.com;

More information

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer 3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer Makoto Takamiya 1, Koichi Ishida 1, Koichi Takemura 2,3, and Takayasu Sakurai 1 1 University of Tokyo, Japan 2 NEC Corporation,

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

Mechanical Simulations for Chip Package Interaction: Failure Mechanisms, Material Characterization, and Failure Data

Mechanical Simulations for Chip Package Interaction: Failure Mechanisms, Material Characterization, and Failure Data Mechanical Simulations for Chip Package Interaction: Failure Mechanisms, Material Characterization, and Failure Data Ahmer Syed Amkor Technology Enabling a Microelectronic World Outline Effect of Chip

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Heat Removal of a Protection Chip after Surge Pulse Tom Graf Hochschule Luzern T&A Technikumstrasse 21 CH-6048 Horw Switzerland

Heat Removal of a Protection Chip after Surge Pulse Tom Graf Hochschule Luzern T&A Technikumstrasse 21 CH-6048 Horw Switzerland Heat Removal of a Protection Chip after Surge Pulse Tom Graf Hochschule Luzern T&A Technikumstrasse 21 CH-6048 Horw Switzerland 1 / 23 Abstract EMC protection elements experienced significant heating after

More information

STUDY OF THERMAL RESISTANCE MEASUREMENT TECHNIQUES

STUDY OF THERMAL RESISTANCE MEASUREMENT TECHNIQUES STUDY OF THERMAL RESISTANCE MEASUREMENT TECHNIQUES The Development of the Next Generation VLSI Technology for Very High Speed Analog Chip Design PROJECT UPDATE Prepared for : Dr. Ronald Carter Dr. W.Alan

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Co-Design of Multicore Architectures and Microfluidic Cooling for 3D Stacked ICs

Co-Design of Multicore Architectures and Microfluidic Cooling for 3D Stacked ICs Co-Design of Multicore Architectures and Microfluidic Cooling for 3D Stacked ICs Zhimin Wan, He Xiao, Yogendra Joshi*, Sudhakar Yalamanchili Georgia Institute of Technology, Atlanta, USA * Corresponding

More information

Thermal Evaluation of Two Die Stacked FBGA Packages

Thermal Evaluation of Two Die Stacked FBGA Packages Thermal Evaluation of Two Die Stacked FBGA Packages Krishnamoorthi. S, W.H. Zhu, C.K.Wang, H.B. Tan and Anthony Y.S. Sun Packaging Analysis and Design Center United Test and Assembly Center Ltd 5 Serangoon

More information

2017 IEEE 67th Electronic Components and Technology Conference

2017 IEEE 67th Electronic Components and Technology Conference 2017 IEEE 67th Electronic Components and Technology Conference A Unique Temporary Bond Solution Based on a Polymeric Material Tacky at Room Temperature and Highly Thermally Resistant Application Extension

More information

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 Effects of Thermocompression Bonding Parameters on Cu Pillar/Sn-Ag Microbump Solder Joint Morphology

More information

Modeling and optimization of noise coupling in TSV-based 3D ICs

Modeling and optimization of noise coupling in TSV-based 3D ICs LETTER IEICE Electronics Express, Vol.11, No.20, 1 7 Modeling and optimization of noise coupling in TSV-based 3D ICs Yingbo Zhao, Yintang Yang, and Gang Dong a) School of Microelectronics, Xidian University,

More information

SET Technical Bulletin

SET Technical Bulletin SET Technical Bulletin DIE BONDING APPLICATIONS An Innovative Die to Wafer 3D Integration Scheme: Die to Wafer Oxide or Copper Direct Bonding with Planarised Oxide Inter-Die Filling RF MEMS and Flip-Chip

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications 2017 IEEE 67th Electronic Components and Technology Conference Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications Qinghua Zeng, Yong Guan,

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 1 Introduction Cause of Soft errors a. Ion creates electron hole pairs

More information

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Thomas Obeloer*, Bruce Bolliger Element Six Technologies 3901 Burton Drive Santa Clara, CA 95054 *thomas.obeloer@e6.com

More information

Transient Through-Silicon Hotspot Imaging

Transient Through-Silicon Hotspot Imaging Transient Through-Silicon Hotspot Imaging 1 MEPTEC Heat Is On Symposium March 19, 2012 K. Yazawa* Ph.D. Research, Microsanj LLC., D. Kendig (Microsanj), A. Shakouri (Purdue Univ.) Info@microsanj.com +1

More information

TTC-1001 Thermal Test Chip Application Information

TTC-1001 Thermal Test Chip Application Information TTC-1001 Thermal Test Chip Application Information Thermal Engineeringa Associates 3287 Kifer Road Santa Clara, CA 95051 Tel: 650-961-5900 Email: info@thermenger.com www.thermengr.com Rev. 4 160125 TTC-1001

More information

Thermal Dissipation in Bonded Structures

Thermal Dissipation in Bonded Structures Thermal Dissipation in Bonded Structures Rajiv V. Joshi,T. Smy 1, K. Banerjee 2, A. Topol IBM T. J. Watson Research Center Yorktown Heights, NY 1 University of Carleton, Ottawa, Canada 2 University of

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE JEROEN JALINK 8 JUNI 2016 MICROELECTRONICS RELIABILITY 54 (2014) 1988 1994 Contents Introduction NXP Package form factor Failure mechanism

More information

Intel Stratix 10 Thermal Modeling and Management

Intel Stratix 10 Thermal Modeling and Management Intel Stratix 10 Thermal Modeling and Management Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 List of Abbreviations...

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

BEOL-investigation on selfheating and SOA of SiGe HBT

BEOL-investigation on selfheating and SOA of SiGe HBT BEOL-investigation on selfheating and SOA of SiGe HBT Rosario D Esposito, Sebastien Fregonese, Thomas Zimmer To cite this version: Rosario D Esposito, Sebastien Fregonese, Thomas Zimmer. BEOL-investigation

More information

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance Steven Brinser IBM Microelectronics Abstract Thermal characterization of a semiconductor device is

More information

Design Guidelines for SFT Chipsets Assembly

Design Guidelines for SFT Chipsets Assembly Design Guidelines for SFT Chipsets Assembly SFT-10 SFT-16 SFT-20 Table of Contents 1. Design Guidelines 2 1.1 Electrical Insulation 2 1.2 Thermal Management 3 2. Available Reference Designs for Thermal

More information

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING THERMAL SCIENCE, Year 2017, Vol. 21, No. 4, pp. 1601-1606 1601 AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING by Kang-Jia WANG a,b, Hong-Chang

More information

Transient Thermal Measurement and Behavior of Integrated Circuits

Transient Thermal Measurement and Behavior of Integrated Circuits Transient Thermal Measurement and Behavior of Integrated Circuits Dustin Kendig¹*, Kazuaki Kazawa 1,2, and Ali Shakouri 2 ¹Microsanj LLC 3287 Kifer Rd, Santa Clara, CA 95051, USA ² Birck Nanotechnology

More information

Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters

Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters Benefits of Stacked-Wafer Capacitors for High-Frequency Buck Converters Michael W. Baker, PhD Maxim Integrated Power SoC Northeastern University, Boston MA. October 7, 2014 Mobile Device Trends Power Management

More information

CHIP/PACKAGE CO-ANALYSIS OF THERMAL-INDUCED STRESS FOR FAN-OUT WAFER LEVEL PACKAGING

CHIP/PACKAGE CO-ANALYSIS OF THERMAL-INDUCED STRESS FOR FAN-OUT WAFER LEVEL PACKAGING CHIP/PACKAGE CO-ANALYSIS OF THERMAL-INDUCED STRESS FOR FAN-OUT WAFER LEVEL PACKAGING Stephen Pan, Zhigang Feng, Norman Chang ANSYS, Inc. San Jose, CA, USA stephen.pan, zhigang.feng, nchang@ansys.com ABSTRACT

More information

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 Ročník 2012 Číslo VI The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 1 Department of Microelectronics, Faculty of Electrical Engineering and

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1 Raman spectroscopy of CVD graphene on SiO 2 /Si substrate. Integrated Raman intensity maps of D, G, 2D peaks, scanned across the same graphene area. Scale

More information

Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers

Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers Zhenbang Yuan a, Jingwei Wang b, Di Wu c, Xu Chen a, Xingsheng Liu b,c a School of Chemical Engineering & Technology of

More information

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Torsten Hauck*, Wim Teulings*, Evgenii Rudnyi ** * Freescale Semiconductor Inc. ** CADFEM GmbH Abstract In this paper we will

More information

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging Chih-Tang Peng 1, Chang-Ming Liu 1, Ji-Cheng Lin 1, Kuo-Ning Chiang E-Mail: Knchiang@pme.nthu.edu.tw Department

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Delamination Modeling for Power Packages and Modules. Rainer Dudek, R. Döring, S. Rzepka Fraunhofer ENAS, Micro Materials Center Chemnitz

Delamination Modeling for Power Packages and Modules. Rainer Dudek, R. Döring, S. Rzepka Fraunhofer ENAS, Micro Materials Center Chemnitz Delamination Modeling for Power Packages and Modules Rainer Dudek, R. Döring, S. Rzepka Fraunhofer ENAS, Micro Materials Center Chemnitz The Micro Materials Center @ Virtual Prototyping Finite Element

More information

Power Cycling Test Circuit for Thermal Fatigue Resistance Analysis of Solder Joints in IGBT

Power Cycling Test Circuit for Thermal Fatigue Resistance Analysis of Solder Joints in IGBT Power Cycling Test Circuit for Thermal Fatigue Resistance Analysis of Solder Joints in IGBT Laurent Dupont, Stéphane Lefebvre, Zoubir Khatir, Jean Claude Faugiere To cite this version: Laurent Dupont,

More information

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Introduction There are many sensor effects... but only a a very few sensors! Outline CMOS Encapsulated CMOS/MEMS

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

Thermal Management of SMT LED Application Note

Thermal Management of SMT LED Application Note hermal Management of SM LED Application Note Introduction o achieve reliability and optimal performance of LED Light sources a proper thermal management design is necessary. Like all electronic components,

More information

3-D INTEGRATION is an emerging technology to address

3-D INTEGRATION is an emerging technology to address 1914 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 12, DECEMBER 2014 Thermal Design and Constraints for Heterogeneous Integrated Chip Stacks and Isolation Technology

More information

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs Analysis of -to- Coupling with -Impedance Termination in 3D ICs Taigon Song, Chang Liu, Dae Hyun Kim, and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology, U.S.A.

More information

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone*

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone* Nice, Côte d Azur, France, 27-29 September 26 THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS Yasuo Osone* *Mechanical Engineering Research Laboratory, Hitachi, Ltd., 832-2

More information

Thermal and Mechanical Analysis of 3D Glass Packaging for Automotive Cameras

Thermal and Mechanical Analysis of 3D Glass Packaging for Automotive Cameras Thermal and Mechanical Analysis of 3D Glass Packaging for Automotive Cameras Daniel Struk, Chintan Buch*, Peter J. Hesketh, Klaus-Jürgen Wolter*, and Rao Tummala* Woodruff School of Mechanical Engineering

More information

Testing interface thermal resistance

Testing interface thermal resistance Testing interface thermal resistance Márta Rencz Budapest University of Technology and Economics, Budapest XI., Goldman György tér 3. H-1111 Hungary, (+36-1) 463-2727 Abstract The paper presents some recent

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

Thermal Processing Part III - Oxidation. and Kinetics. Technical Tidbit

Thermal Processing Part III - Oxidation. and Kinetics. Technical Tidbit Thermal Processing Part III - Oxidation and Kinetics By Christopher Henderson Last month we explored the topic of oxidation and kinetics in thermal processing. We ll continue our discussion this month.

More information

MPC-D403 MPC-D404. Ultra-small Peltier Coolers. High impedance Low control current High power efficiency

MPC-D403 MPC-D404. Ultra-small Peltier Coolers. High impedance Low control current High power efficiency MPC-D MPC-D Ultra-small Peltier Coolers High impedance Low control current High power efficiency MPC-D / D. Introduction. General description The MPC- / D micro chip-sized thermoelectric coolers (TEC)

More information

L-Band 6-Bit Digital Phase Shifter

L-Band 6-Bit Digital Phase Shifter Advance Information: AI1104 GaAs Monolithic Microwave IC P6 P1 V- V+ Control interface In P1 P2 P3 P4 P5 P6 Out UMS is developing a L-Band (1.2-1.4GHz) monolithic 6 bit digital phase-shifter with a 0-360

More information

Ratcheting deformation in thin film structures

Ratcheting deformation in thin film structures Ratcheting deformation in thin film structures Z. SUO Princeton University Work with MIN HUANG, Rui Huang, Jim Liang, Jean Prevost Princeton University Q. MA, H. Fujimoto, J. He Intel Corporation Interconnect

More information

Analytical Heat Transfer Model for Thermal Through-Silicon Vias

Analytical Heat Transfer Model for Thermal Through-Silicon Vias Analytical Heat Transfer Model for Thermal Through-Silicon Vias Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI - EPFL, CH-1015, Switzerland Email: {hu.xu, vasileios.pavlidis, giovanni.demicheli}@epfl.ch

More information

Thermal experimental & simulation investigations on new lead frame based LED packages.

Thermal experimental & simulation investigations on new lead frame based LED packages. Thermal experimental & simulation investigations on new lead frame based LED packages. B. Pardo, A. Piveteau, J. Routin, S, A. Gasse, T. van Weelden* CEA-Leti, MINATEC Campus, 17 rue des Martyrs, 38054

More information

Postprint.

Postprint. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 29th IEEE International Conference on Micro Electro Mechanical Systems, MEMS 2016, Shanghai, China, 24 January

More information

State of the Art Cooling Technologies Ways Toward Zero Emission Datacenters

State of the Art Cooling Technologies Ways Toward Zero Emission Datacenters Tuesday, March 22, 2011 State of the Art Cooling Technologies Ways Toward Zero Emission Datacenters Thomas Brunschwiler, Werner Escher, Javier Goicochea Ingmar Meijer, Bruno Michel, Monika Müller, Jeff

More information

An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs

An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs Karthik Srinivasan, Stephen Pan, Zhigang Feng, Norman Chang, Tim Pawlak ANSYS Inc., 2645 Zanker Road, San Jose, CA-95134,

More information

First Sensor APD Array Data Sheet Part Description 64AA SMD Order #

First Sensor APD Array Data Sheet Part Description 64AA SMD Order # Responsivity (A/W) First Sensor APD Array Data Sheet Features Description Application RoHS 64 element APD array High QE >8% for λ = 7-91 nm High speed, low noise High uniformity, low cross talk Absolute

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Technical Notes. Introduction. PCB (printed circuit board) Design. Issue 1 January 2010

Technical Notes. Introduction. PCB (printed circuit board) Design. Issue 1 January 2010 Technical Notes Introduction Thermal Management for LEDs Poor thermal management can lead to early LED product failure. This Technical Note discusses thermal management techniques and good system design.

More information

Especial Bump Bonding Technique for Silicon Pixel Detectors

Especial Bump Bonding Technique for Silicon Pixel Detectors Especial Bump Bonding Technique for Silicon Pixel Detectors E. Cabruja, M. Bigas, M. Ullán, G. Pellegrini, M. Lozano Centre Nacional de Microelectrònica Spain Outline Motivation Summary of bump bonding

More information

THERMO-MECHANICAL ANALYSIS OF AN IMPROVED THERMAL THROUGH SILICON VIA (TTSV) STRUC- TURE

THERMO-MECHANICAL ANALYSIS OF AN IMPROVED THERMAL THROUGH SILICON VIA (TTSV) STRUC- TURE Progress In Electromagnetics Research M, Vol. 30, 51 66, 2013 THERMO-MECHANICAL ANALYSIS OF AN IMPROVED THERMAL THROUGH SILICON VIA (TTSV) STRUC- TURE Lin-Juan Huang * and Wen-Sheng Zhao Center for Optical

More information

Some Researches of Thermal Problem in 3D ICs. Dr. Xiuyun DU Prof. Zhenan TANG Dalian University of Technology

Some Researches of Thermal Problem in 3D ICs. Dr. Xiuyun DU Prof. Zhenan TANG Dalian University of Technology Some Researches of Thermal Problem in 3D ICs Dr. Xiuyun DU Prof. Zhenan TANG Dalian University of Technology Outline 1. Thermal analysis problems in 3D ICs 2. Deterministic analysis of the thermal problems

More information

A Temporary Bonding and Debonding Technology for TSV Fabrication

A Temporary Bonding and Debonding Technology for TSV Fabrication A Temporary Bonding and Debonding Technology for TSV Fabrication Taku Kawauchi, Masatoshi Shiraishi, Satoshi Okawa, Masahiro Yamamoto Tokyo Electron Ltd, Japan Taku Kawauchi, Tokyo Electron Ltd./Slide

More information

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch J. Lau, Z. Mei, S. Pang, C. Amsden, J. Rayner and S. Pan Agilent Technologies, Inc. 5301 Stevens

More information

EPC2107 Enhancement-Mode GaN Power Transistor Half-Bridge with Integrated Synchronous Bootstrap

EPC2107 Enhancement-Mode GaN Power Transistor Half-Bridge with Integrated Synchronous Bootstrap Enhancement-Mode GaN Power Transistor Half-Bridge with Integrated Synchronous Bootstrap V DSS, V R DS(on), 9 mω I D,.7 A EFFICIENT POWER CONVERSION HAL Gallium Nitride is grown on Silicon Wafers and processed

More information

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs Xiaoxia Wu, Wei Zhao, Mark Nakamoto, Chandra Nimmagadda,

More information

Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy

Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy 2017 IEEE 67th Electronic Components and Technology Conference Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy Yézouma D. Zonou, Stéphane Bernabé,

More information

TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES

TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES SEMI G38-0996 N/A SEMI 1987, 1996 TEST METHOD FOR STILL- AND FORCED-AIR JUNCTION-TO- AMBIENT THERMAL RESISTANCE MEASUREMENTS OF INTEGRATED CIRCUIT PACKAGES 1 Purpose The purpose of this test is to determine

More information

MIL-STD-883E METHOD THERMAL CHARACTERISTICS

MIL-STD-883E METHOD THERMAL CHARACTERISTICS THERMAL CHARACTERISTICS 1. PURPOSE. The purpose of this test is to determine the thermal characteristics of microelectronic devices. This includes junction temperature, thermal resistance, case and mounting

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 8, AUGUST

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 8, AUGUST IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 8, AUGUST 2015 1075 Impact of Copper Through-Package Vias on Thermal Performance of Glass Interposers Sangbeom Cho,

More information

FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products

FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products August 2012 FPF1003A / FPF1004 IntelliMAX Advanced Load Management Products Features 1.2 V to 5.5 V Input Voltage Operating Range Typical R DS(ON) : - 30 mω at V IN =5.5 V - 35 mω at V IN =3.3 V ESD Protected:

More information

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES As originally published in the SMTA Proceedings ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES Tiao Zhou, Ph.D. Southern Methodist University Dallas, TX, USA tiaoz@smu.edu Zhenxue Han, Ph.D. University

More information

THERMAL TRANSIENT CHARACTEROZATION OF PACKAGED THIN FILM MICROCOOLERS. Kazuhiko Fukutani, Rajeev Singh and Ali Shakouri

THERMAL TRANSIENT CHARACTEROZATION OF PACKAGED THIN FILM MICROCOOLERS. Kazuhiko Fukutani, Rajeev Singh and Ali Shakouri Nice, Côte d zur, France, 27-29 September 6 THERML TRNSIENT CHRCTEROZTION OF PCKGED THIN FILM MICROCOOLERS Kazuhiko Fukutani, Rajeev Singh and li Shakouri Baskin School of Engineering, University of California

More information