Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Size: px
Start display at page:

Download "Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)"

Transcription

1 Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter Date Submitted by the Author: Complete List of Authors: Zhang, Chunbo; Utah State University, Mechanical & Aerospace Engineering Dasu, Aravind; Utah State University, Electrical and Computer Engineering Li, Leijun; Utah State University, Mechanical & Aerospace Engineering Keywords: 3-D circuits, SOLID MODELLING, THERMAL ANALYSIS

2 Page 1 of 9 Thermo-structural Model of Stacked Fiel d- programmabl e Gate Arrays (FP GAs) wi th Throughsilicon Vias (TSVs) C. Zhang, A. Dasu, and L. Li 1 Micron Research Center, Utah State University A new 3-D full-scale thermo-structural finite element model of two-stack FPGA with TSVs, which is developed from an experimentally validated single-stack FPGA model, is proposed. Typical 3-D distributions and evolutions of temperature and von Mises stress on both the active layers and TSVs are presented. Introduction: 3-D chip stacking offers a much higher level of silicon integration where orders of magnitude I/O density advancement may be possible [1]. It is believed that through silicon via (TSV) interconnection is the ultimate way for 3-D die stack application. Copper-through-via interconnection offers the shortest wiring length between dies, thereby leading to higher chip performance. TSVs are formed by mechanical drilling, laser drilling, or dry etching, followed by deposition of a layer of SiO 2 or Si 3 N 4 for electrical insulation. The via is then filled with chemical vapor deposition (CVD) of tungsten or copper, or electrochemical deposition (ECD) of copper. Induced stresses in 3-D circuits are known to affect transistor performance by the piezo-resistive effects. TSVs may impact on the performance of 1 Department of Mechanical and Aerospace Engineering, Utah State University, 4130 Old Main Hill, Logan, Utah , USA; leijun.li@usu.edu 1

3 Page 2 of 9 transistors that are close to them because of the high stresses from coefficient of thermal expansion (CTE) mismatch between copper and silicon. Thus, the successful application of 3-D integration requires significant thermo-structural analysis. Several authors have used finite element method for insights on the temperature and stress distributions in 3-D multi-stack circuits [2-3]. The thermo-structural characteristics of stacked field-programmable gate array (FPGA) with TSVs have rarely been studied. The objective of this work is to identify and predict 3-D temperature/stress for typical TSV designs and reliability estimations with a new finite-element model. For design consideration, the influence of TSV s material, geometry, and position on temperature/stress fields under different thermal cycling conditions can be studied using the developed model. Finite Element Model: Considering the symmetry of the chip, a 3-D quartersize thermo-structural coupled finite element model has been developed based on the experimentally determined configuration and dimensions of the FPGA (Xilinx Spartan 3E-250K), shown in Fig. 1(a). This layer-structured chip is composed of, following a sequence from bottom to top and represented by different colours, epoxy mold, copper heat spreader, substrate attachment, silicon substrate, bottom device layer, in-between silicon layer, and top device layer. The Si substrate has a thickness of 280 µm, and for the two active layers each has a thickness of 10 µm. It is assumed that the two active device layers are bonded together with a face-to-back configuration, sandwiching a thinned substrate layer underneath the top device layer. Nine through silicon vias (TSVs) are inserted to connect the two active device layers. Although 2

4 Page 3 of 9 various TSV structures have been reported or proposed, the mainstream configuration is cylindrical. Fig. 1(b) illustrates the fine meshed annular copper TSVs and connection pads. All the TSVs have a uniform dimension of 50 µm diameter and 100 µm length. The space between TSVs is 500 µm. Since there is no reference about the dimensions of the Cu pads in FPGA, it is assumed to be 100 µm square plate according to other types of 3-D circuits. The 3-D 10-node coupled-field element (Solid227) is chosen during mesh generation. For simplicity, mechanical and thermal properties of different material are assumed to be constant. This new two-device layer model is based on a single layer model that has been verified by thermocouplemeasured temperature [4]. Conductive heat flow is included in the finite element model and the governing equation is as follows: # T r r $ c ( + ( v "!) T ) = q& +! " (( K "!) T ) (1) # t where " is density, c is specific heat, T is temperature, t is time, r v is the velocity vector for mass transport of heat, q& is the heat generation rate per unit volume, r K is the conductivity vector, and " = # #x + # #y + # #z. The exposed surfaces are subject to the convective heat flow that is calculated by: {q} T {"} = h f (T S # T B ) (2) 3

5 Page 4 of 9 where q is heat flux vector, " is unit outward normal vector, h f is film coefficient, T B is bulk temperature of the adjacent fluid, T S is temperature at the surface of the model. The stress caused by the thermal strain is calculated by: el {' } = [ D]{ & } el th {& } = {& } # {& } {& th } = $ T $ T = T # T se se se T!% x % y % z 0 0 0" ref (3) where {"} is stress vector, [D] is elastic stiffness matrix; {"}, {" el }, and {" th } are the total, elastic, and thermal strain vectors, respectively; T is the current temperature at the point in question; T ref is reference (strain-free) se temperature; " x,y,z is secant coefficient of thermal expansion in the x, y and z directions. A fixed boundary is applied to the bottom surface of the chip. The cut surfaces for the quarter-chip model are set with the symmetric boundary conditions, with no displacement and heat flow along the direction normal to symmetric planes. The convective boundary conditions are used on all the surfaces exposed to the air. The TSVs are subjected to a heat flux generated on the active layers, which is 2.35 W for the condition of 100% slices utilization, clocked at 100MHz and all look up tables (LUTs) and flip-flops (FFs) toggling at the maximum possible rate for the modelled device [4]. It is assumed that the working units are uniformly distributed on the active layers, thus the heat flux generated by the units is also evenly distributed. 4

6 Page 5 of 9 Simulation Results: A higher temperature zone exists at the centre of the active layer, in Fig. 2(a), because of the worst heat dissipation condition at the centre. In regions close to TSVs, more heat can be dissipated compared with surrounding areas since the thermal conductivity of Cu is higher than Si. The von Mises stress concentrates on the interface of the active layers and Cu pads, illustrated in Fig. 2(b), which can be explained by the large CTE mismatch between the copper and the silicon. The stress level is high enough to cause possible fracture in the silicon or delaminating at the interface of the copper/silicon materials. Reliability problems such as electrical breakdown may occur. Similarly, the average temperature at TSVs decreases when the TSVs are located further away from the chip centre, shown in Fig. 3(a). Fig. 3(b) illustrates the 3-D von Mises stress distributions on TSVs. The maximum stress in TSVs is located near the connection between the TSVs and Cu pads, an area for possible debonding failure in the through-wafer copper interconnects. The sharp angle between the TSV and Cu pad (90 ) causes a high stress level, which could be harmful. Therefore, proper design of connection shape is required to reduce the stress level and improve the chip reliability. A possible solution reported is the tapered opening of vias made by gradient DRIE (Deep Gradient Ion Etching). Such design has produced a better high-frequency signal transmission performance [5]. Both the temperature field on the active layers and the thermal stress field on the TSVs have a saturation state, illustrated in Fig. 4. The peak temperature on active layers saturates at 133 C for the condition of 2.35 W thermal power. 5

7 Page 6 of 9 Because of the high thermal conductivity of Cu and Si, little temperature difference between the bottom and top active layers can be found (Fig. 4(a)). Fig. 4(b) shows the evolution of distribution band of maximum von Mises stress in TSVs. As can be observed, the maximum stresses increase to constant values ranging from 407 MPa to 431 MPa depending on TSV locations. Conclusions: A new full-scale 3-D thermo-structural finite element model of two-stack FPGAs with TSVs has been developed. With this model, typical thermo-structural characteristics, including 3-D temperature and stress fields and profiles, have been identified. This model can be applied to study the effects of TSV parameters on thermo-structural fields and its optimization for FPGA design. References 1 Knickerbocker, J.U., Patel, C.S., Andry, P.S., Tsang, C.K., Buchwalter, L.P., Sprogis, E.J., Gan, H., Horton, R.R., Polastre, R.J., Wright, S.L., and Cotte, J.M.: 3-D Silicon Integration and Silicon Packaging Technology Using Silicon Through-Vias. IEEE Journal of Solid-state Circuits, August 2006, Vol. 41, No. 8, pp Hsieh, M.C., and Yu, C.K. : Thermo-mechanical Simulations For 4-Layer Stacked IC Packages. 9th. Int. Conf. on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro- Systems, 2008, EuroSimE 3 Takana, N., Sato, T., Yamaji, Y., Morifuji, T., Umemoto, M., and Takahashi, K. : Mechanical Effects of Copper Through-Vias in a 3D Die-Stacked Module. Electron. Comp. and Tech. Conf Zhang, C., Kallam, R., Deceuster, A., Dasu, A., and Li, L. : A Thermalmechanical Coupled Finite Element Model with Experimental Temperature Verification for Vertically Stacked FPGAs. Microelectronic Engineering, 2009, under review 5 Zhao, L., Liao, H., Miao, M., and Jin, Y. : Design and Analysis of an I- shaped TSV Structure for 3D SiP.10th Electronics Packaging Technology 6

8 Page 7 of 9 Conference, 2008, pp Figure captions: Fig. 1 (a) 3-D quarter-size thermo-structural model for two-stack FPGAs; (b) Meshed TSVs Fig. 2 (a) Temperature distribution on the bottom active layer (t=4s, ºC); (b) Von Mises stress distribution on the bottom active layer (t=4s, MPa) Fig. 3 (a) Temperature distribution on TSVs (t=4s, ºC); (b) Von Mises stress distribution on TSVs (t=4s, MPa) Fig. 4 (a) Temperature evolutions of active layers; (b) Distribution band of maximum von Mises stress evolution in TSVs 7

9 Page 8 of 9 Figure 1 (a) (b) Figure 2 (a) (b) 8

10 Page 9 of 9 Figure 3 (a) (b) Figure 4 (a) (b) 9

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Study of Electromigration of flip-chip solder joints using Kelvin probes

Study of Electromigration of flip-chip solder joints using Kelvin probes Study of Electromigration of flip-chip solder joints using Kelvin probes Y. W. Chang and Chih Chen National Chiao Tung University, Department of Material Science & Engineering, Hsin-chu 30010, Taiwan,

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

FEM Analysis on Mechanical Stress of 2.5D Package Interposers Hisada et al.: FEM Analysis on Mechanical Stress of 2.5D Package Interposers (1/8) [Technical Paper] FEM Analysis on Mechanical Stress of 2.5D Package Interposers Takashi Hisada, Toyohiro Aoki, Junko Asai,

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD N.BhargavaRamudu 1, V. Nithin Kumar Varma 2, P.Ravi kiran 3, T.Venkata Avinash 4, Ch. Mohan Sumanth 5, P.Prasanthi 6 1,2,3,4,5,6

More information

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs Joydeep Mitra 1, Moongon Jung 2, Suk-Kyu Ryu 3, Rui Huang 3, Sung-Kyu Lim

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Impact of Uneven Solder Thickness on IGBT Substrate Reliability

Impact of Uneven Solder Thickness on IGBT Substrate Reliability Impact of Uneven Solder Thickness on IGBT Substrate Reliability Hua Lu a, Chris Bailey a, Liam Mills b a Department of Mathematical Sciences, University of Greenwich 30 Park Row, London, SE10 9LS, UK b

More information

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Kuan H. Lu, Suk-Kyu Ryu*, Qiu Zhao, Klaus Hummler**,

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK

TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK vii TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK TABLE OF CONTENTS LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS LIST OF SYMBOLS ii iii iv v vi vii

More information

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Thomas Obeloer*, Bruce Bolliger Element Six Technologies 3901 Burton Drive Santa Clara, CA 95054 *thomas.obeloer@e6.com

More information

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC)

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) ZAINUDIN KORNAIN 1, AZMAN JALAR 2,3, SHAHRUM ABDULLAH 3, NOWSHAD

More information

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING THERMAL SCIENCE, Year 2017, Vol. 21, No. 4, pp. 1601-1606 1601 AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING by Kang-Jia WANG a,b, Hong-Chang

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Delamination Modeling for Power Packages and Modules. Rainer Dudek, R. Döring, S. Rzepka Fraunhofer ENAS, Micro Materials Center Chemnitz

Delamination Modeling for Power Packages and Modules. Rainer Dudek, R. Döring, S. Rzepka Fraunhofer ENAS, Micro Materials Center Chemnitz Delamination Modeling for Power Packages and Modules Rainer Dudek, R. Döring, S. Rzepka Fraunhofer ENAS, Micro Materials Center Chemnitz The Micro Materials Center @ Virtual Prototyping Finite Element

More information

Woon-Seong Kwon Myung-Jin Yim Kyung-Wook Paik

Woon-Seong Kwon   Myung-Jin Yim Kyung-Wook Paik Woon-Seong Kwon e-mail: wskwon@kaist.ac.kr Myung-Jin Yim Kyung-Wook Paik Department of Materials Science and Engineering Korea Advanced Institute of Science and Technology Daejon 305-701, Korea Suk-Jin

More information

THERMO-MECHANICAL ANALYSIS OF AN IMPROVED THERMAL THROUGH SILICON VIA (TTSV) STRUC- TURE

THERMO-MECHANICAL ANALYSIS OF AN IMPROVED THERMAL THROUGH SILICON VIA (TTSV) STRUC- TURE Progress In Electromagnetics Research M, Vol. 30, 51 66, 2013 THERMO-MECHANICAL ANALYSIS OF AN IMPROVED THERMAL THROUGH SILICON VIA (TTSV) STRUC- TURE Lin-Juan Huang * and Wen-Sheng Zhao Center for Optical

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES D. Celo, R. Joshi 1, and T. Smy Dept. of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6, ph: 613-520-3967, fax: 613-520-5708:

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

Chapter 5: Ball Grid Array (BGA)

Chapter 5: Ball Grid Array (BGA) Chapter 5: Ball Grid Array (BGA) 5.1 Development of the Models The following sequence of pictures explains schematically how the FE-model of the Ball Grid Array (BGA) was developed. Initially a single

More information

The Use of COMSOL Multiphysics for Studying the Fracture Pressure of Rectangular Micro-Channels Embedded in Thin Silicon Substrates

The Use of COMSOL Multiphysics for Studying the Fracture Pressure of Rectangular Micro-Channels Embedded in Thin Silicon Substrates The Use of COMSOL Multiphysics for Studying the Fracture Pressure of Rectangular Micro-Channels Embedded in Thin Silicon Substrates Kaitlin Howell 1, H. Georgiou 2, A. Mapelli 3, P. Petagna 3, G. Romagnoli

More information

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 Ročník 2012 Číslo VI The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 1 Department of Microelectronics, Faculty of Electrical Engineering and

More information

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC ttarter@pkgscience.com INTRODUCTION Irrespective of if a device gets smaller, larger, hotter or cooler, some method

More information

Effects of underfill material on solder deformation and damage in 3D packages

Effects of underfill material on solder deformation and damage in 3D packages University of New Mexico UNM Digital Repository Mechanical Engineering ETDs Engineering ETDs 9-3-2013 Effects of underfill material on solder deformation and damage in 3D packages Geno Flores Follow this

More information

Design of Power Electronics Reliability: A New, Interdisciplinary Approach. M.C. Shaw. September 5, 2002

Design of Power Electronics Reliability: A New, Interdisciplinary Approach. M.C. Shaw. September 5, 2002 Design of Power Electronics Reliability: A New, Interdisciplinary Approach M.C. Shaw September 5, 2002 Physics Department California Lutheran University 60 W. Olsen Rd, #3750 Thousand Oaks, CA 91360 (805)

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B PRODUCT FAMILY SPEFICIFATION SCB10H Series Pressure Elements SCB10H Series Pressure Elements Doc. No. 82 1250 00 B Table of Contents 1 General Description... 3 1.1 Introduction... 3 1.2 General Description...

More information

Simulation of the Influence of Manufacturing Quality on Thermomechanical Stress of Microvias

Simulation of the Influence of Manufacturing Quality on Thermomechanical Stress of Microvias As originally published in the IPC APEX EXPO Conference Proceedings. Simulation of the Influence of Manufacturing Quality on Thermomechanical Stress of Microvias Yan Ning, Michael H. Azarian, and Michael

More information

Characteristics of Thermosonic Anisotropic Conductive Adhesives (ACFs) Flip-Chip Bonding

Characteristics of Thermosonic Anisotropic Conductive Adhesives (ACFs) Flip-Chip Bonding Materials Transactions, Vol. 51, No. 10 (2010) pp. 1790 to 1795 Special Issue on Lead-Free and Advanced Interconnection Materials for Electronics #2010 The Japan Institute of Metals Characteristics of

More information

A Micromechanics-Based Vapor Pressure Model in Electronic Packages

A Micromechanics-Based Vapor Pressure Model in Electronic Packages X. J. Fan 1 Philips Research USA, 345 Scarborough Road, Briarcliff Manor, NY 10510 e-mail: xuejun.fan@ieee.org J. Zhou Department of Mechanical Engineering, Lamar University, Beaumont, TX 77710 e-mail:

More information

3D INTEGRATION A THERMAL-ELECTRICAL-MECHANICAL-RELIABILITY STUDY

3D INTEGRATION A THERMAL-ELECTRICAL-MECHANICAL-RELIABILITY STUDY 3D INTEGRATION A THERMAL-ELECTRICAL-MECHANICAL-RELIABILITY STUDY K. Weide-Zaage 1, J. Schlobohm 1, H. Frémont 2, A. Farajzadeh 1, J. Kludt 1 1 Information Technology Laboratory, Leibniz University Hannover

More information

A Study of Friction Behavior in Ultrasonic Welding (Consolidation) of Aluminum

A Study of Friction Behavior in Ultrasonic Welding (Consolidation) of Aluminum A Study of Friction Behavior in Ultrasonic Welding (Consolidation) of Aluminum Abstract: C.B. Zhang 1, X.J. Zhu 2 and L.J. Li 3 Mechanical & Aerospace Engineering Utah State University In the present study,

More information

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance Steven Brinser IBM Microelectronics Abstract Thermal characterization of a semiconductor device is

More information

Thermal Management In Microelectronic Circuits

Thermal Management In Microelectronic Circuits Thermal Management In Microelectronic Circuits Prakash Bhartia*, C.M., Ph.D., F.R.S.C. Natel Engineering Co., Inc. 9340 Owensmouth Avenue Chatsworth, CA 91311-6915 Phone: (818) 734-6500 www.natelengr.com

More information

DUE TO THE coefficients of thermal expansion (CTE)

DUE TO THE coefficients of thermal expansion (CTE) 1194 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 8, AUGUST 2012 TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC Moongon

More information

3-D INTEGRATED circuits (3-D ICs) have regained the

3-D INTEGRATED circuits (3-D ICs) have regained the 1734 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 11, NOVEMBER 2013 Reliable 3-D Clock-Tree Synthesis Considering Nonlinear Capacitive TSV Model With Electrical

More information

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr Stress in Flip-Chip Bumps due to Package Warpage -- Matt Pharr Introduction As the size of microelectronic devices continues to decrease, interconnects in the devices are scaling down correspondingly.

More information

Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing

Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing ScieTech 014 Journal of Physics: Conference Series 495 (014) 01045 doi:10.1088/174-6596/495/1/01045 Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing H. F. Hawari, Y. Wahab,

More information

Reliability analysis of different structure parameters of PCBA under drop impact

Reliability analysis of different structure parameters of PCBA under drop impact Journal of Physics: Conference Series PAPER OPEN ACCESS Reliability analysis of different structure parameters of PCBA under drop impact To cite this article: P S Liu et al 2018 J. Phys.: Conf. Ser. 986

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Transactions of The Japan Institute of Electronics Packaging Vol. 6, No. 1, 13 [Technical Paper] Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Mutsumi Masumoto*, Yoshiyuki Arai*, **,

More information

ECE 497 JS Lecture - 18 Impact of Scaling

ECE 497 JS Lecture - 18 Impact of Scaling ECE 497 JS Lecture - 18 Impact of Scaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 8 th Speaker: Prof.

More information

Analytical Heat Transfer Model for Thermal Through-Silicon Vias

Analytical Heat Transfer Model for Thermal Through-Silicon Vias Analytical Heat Transfer Model for Thermal Through-Silicon Vias Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI - EPFL, CH-1015, Switzerland Email: {hu.xu, vasileios.pavlidis, giovanni.demicheli}@epfl.ch

More information

Finite element model for evaluation of low-cycle-fatigue life of solder joints in surface mounting power devices

Finite element model for evaluation of low-cycle-fatigue life of solder joints in surface mounting power devices Finite element model for evaluation of low-cycle-fatigue life of solder joints in surface mounting power devices N. Delmonte *1, F. Giuliani 1, M. Bernardoni 2, and P. Cova 1 1 Dipartimento di Ingegneria

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Key words Lead-free solder, Microelectronic packaging, RF packaging, RoHS compliant, Solder joint reliability, Weibull failure distribution

Key words Lead-free solder, Microelectronic packaging, RF packaging, RoHS compliant, Solder joint reliability, Weibull failure distribution Solder Joint Reliability Assessment for a High Performance RF Ceramic Package Paul Charbonneau, Hans Ohman, Marc Fortin Sanmina Corporation 500 Palladium Dr. Ottawa, Ontario K2V 1C2 Canada Ph: 613-886-6000;

More information

Thermal aspects of 3D and 2.5D integration

Thermal aspects of 3D and 2.5D integration Thermal aspects of 3D and 2.5D integration Herman Oprins Sr. Researcher Thermal Management - imec Co-authors: Vladimir Cherman, Geert Van der Plas, Eric Beyne European 3D Summit 23-25 January 2017 Grenoble,

More information

New Functions. Test mode and Specimen failure. Power cycle test system with thermal analysis capability using structure function.

New Functions. Test mode and Specimen failure. Power cycle test system with thermal analysis capability using structure function. using structure function. (1) Page 1/5 Test mode and failure There are two modes in a power cycle test: Tj Power cycle that changes the junction temperature (Tj Temperature) inside of the power semiconductor

More information

Substrate Selection Can Simplify Thermal Management

Substrate Selection Can Simplify Thermal Management highfrequencyelectronics.com NOVEMBER2017 Substrate Selection Can Simplify Thermal Management IN THIS ISSUE: Concepts of RF Power Amplification App Note: Holdover Oscillators In the News Market Reports

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages K. N. Chiang Associate Professor e-mail: knchiang@pme.nthu.edu.tw C. W. Chang Graduate Student C. T. Lin Graduate Student

More information

Chip/Package Co-Analysis of Thermo-Mechanical Stress and Reliability in TSV-based 3D ICs

Chip/Package Co-Analysis of Thermo-Mechanical Stress and Reliability in TSV-based 3D ICs Chip/Package Co-Analysis of Thermo-Mechanical Stress and Reliability in -based 3D ICs Moongon Jung 1, David Z. Pan 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology, Atlanta, GA, USA

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

3D Thermal-Diffusion Analysis on a Moisture Loaded Epoxy Sample

3D Thermal-Diffusion Analysis on a Moisture Loaded Epoxy Sample Excerpt from the Proceedings of the COMSOL Conference 2010 Boston 3D Thermal-Diffusion Analysis on a Moisture Loaded Epoxy Sample S. Madduri* 1, W. Infantolino 2, and B.G.Sammakia 1 1 Department of Mechanical

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter S. Sadat 1, E. Meyhofer 1 and P. Reddy 1, 1 Department of Mechanical Engineering, University of Michigan, Ann Arbor, 48109 Department

More information

Thermal Dissipation in Bonded Structures

Thermal Dissipation in Bonded Structures Thermal Dissipation in Bonded Structures Rajiv V. Joshi,T. Smy 1, K. Banerjee 2, A. Topol IBM T. J. Watson Research Center Yorktown Heights, NY 1 University of Carleton, Ottawa, Canada 2 University of

More information

Next-Generation Packaging Technology for Space FPGAs

Next-Generation Packaging Technology for Space FPGAs Power Matters. Next-Generation Packaging Technology for Space FPGAs Microsemi Space Forum Russia November 2013 Raymond Kuang Director of Packaging Engineering, SoC Products Group Agenda CCGA (ceramic column

More information

Thermal And Mechanical Analysis of High-power Light-emitting Diodes with Ceramic Packages

Thermal And Mechanical Analysis of High-power Light-emitting Diodes with Ceramic Packages Thermal And Mechanical Analysis of High-power Light-emitting Diodes with Ceramic Packages J. Hu, L. Yang, M.-W. Shin To cite this version: J. Hu, L. Yang, M.-W. Shin. Thermal And Mechanical Analysis of

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Thermal-Mechanical Analysis of a Different Leadframe Thickness of Semiconductor Package under the Reflow Process

Thermal-Mechanical Analysis of a Different Leadframe Thickness of Semiconductor Package under the Reflow Process American Journal of Applied Sciences 6 (4): 616-625, 2009 ISSN 1546-9239 2009 Science Publications Thermal-Mechanical Analysis of a Different Leadframe Thickness of Semiconductor Package under the Reflow

More information

Minimizing Thermally Induced Interfacial Shearing Stress in a Thermoelectric Module

Minimizing Thermally Induced Interfacial Shearing Stress in a Thermoelectric Module Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 9-25-212 Minimizing Thermally Induced Interfacial Shearing Stress in a Thermoelectric Module Amirkoushyar Ziabari

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

1. Features of Ceramic LED PKG

1. Features of Ceramic LED PKG Ceramic LED PKG 1. Features of Ceramic LED PKG Compactness Compactness (Small, (Small, Low Low profile) profile) High High Reliability Reliability Good Good Thermal Thermal Properties Properties Surface

More information

Thermal and Mechanical Analysis of 3D Glass Packaging for Automotive Cameras

Thermal and Mechanical Analysis of 3D Glass Packaging for Automotive Cameras Thermal and Mechanical Analysis of 3D Glass Packaging for Automotive Cameras Daniel Struk, Chintan Buch*, Peter J. Hesketh, Klaus-Jürgen Wolter*, and Rao Tummala* Woodruff School of Mechanical Engineering

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Avatrel Dielectric Polymers for Electronic Packaging

Avatrel Dielectric Polymers for Electronic Packaging Avatrel Dielectric Polymers for Electronic Packaging R. A., Shick, S. K. Jayaraman, B. L. Goodall, L. F. Rhodes, W.C. McDougall Advanced Technology Group BF Goodrich Company 9921 Brecksville Road Cleveland,

More information

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications Sensor devices Outline 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications Introduction Two Major classes of mechanical

More information

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane Heiko Fettig, PhD James Wylde, PhD Nortel Networks - Optical Components Ottawa ON K2H 8E9 Canada Abstract This paper examines the modelling of

More information

Deformation of solder joint under current stressing and numerical simulation II

Deformation of solder joint under current stressing and numerical simulation II International Journal of Solids and Structures 41 (2004) 4959 4973 www.elsevier.com/locate/ijsolstr Deformation of solder joint under current stressing and numerical simulation II Hua Ye *, Cemal Basaran,

More information

Thermal characteristic evaluation system to evaluate. heat transfer characteristics of mounted materials

Thermal characteristic evaluation system to evaluate. heat transfer characteristics of mounted materials Technology Report Thermal characteristic evaluation system to evaluate heat transfer characteristics of mounted materials Takuya Hirata, Hirokazu Tanaka ESPEC CORP., Technology Development Division T he

More information

Reliability Evaluation Method for Electronic Device BGA Package Considering the Interaction Between Design Factors

Reliability Evaluation Method for Electronic Device BGA Package Considering the Interaction Between Design Factors Reliability Evaluation Method for Electronic Device BGA Package Considering the Interaction Between Design Factors Satoshi KONDO *, Qiang YU *, Tadahiro SHIBUTANI *, Masaki SHIRATORI * *Department of Mechanical

More information

SENSOR DEVICES MECHANICAL SENSORS

SENSOR DEVICES MECHANICAL SENSORS SENSOR DEVICES MECHANICAL SENSORS OUTLINE 4 Mechanical Sensors Introduction General mechanical properties Piezoresistivity Piezoresistive sensors Capacitive sensors Applications INTRODUCTION MECHANICAL

More information

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given Supplementary Figure 1. Pressure sensor fabrication schematics. Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given in Methods section. (a) Firstly, the sacrificial

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

Influence of Plating Quality on Reliability of Microvias

Influence of Plating Quality on Reliability of Microvias As originally published in the IPC APEX EXPO Conference Proceedings. Influence of Plating Quality on Reliability of Microvias Yan Ning, Michael H. Azarian, and Michael Pecht Center for Advanced Life Cycle

More information

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch

Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch Nonlinear Time and Temperature Dependent Analysis of the Lead-Free Solder Sealing Ring of a Photonic Switch J. Lau, Z. Mei, S. Pang, C. Amsden, J. Rayner and S. Pan Agilent Technologies, Inc. 5301 Stevens

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Technology Brief 9: Capacitive Sensors

Technology Brief 9: Capacitive Sensors 218 TEHNOLOGY BRIEF 9: APAITIVE SENSORS Technology Brief 9: apacitive Sensors To sense is to respond to a stimulus. (See Tech Brief 7 on resistive sensors.) A capacitor can function as a sensor if the

More information

Coupled CFD-FE-Analysis for the Exhaust Manifold of a Diesel Engine

Coupled CFD-FE-Analysis for the Exhaust Manifold of a Diesel Engine Coupled CFD-FE-Analysis for the Exhaust Manifold of a Diesel Engine Yasar Deger*, Burkhard Simperl*, Luis P. Jimenez** *Sulzer Innotec, Sulzer Markets and Technology Ltd, Winterthur, Switzerland **Guascor

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Thermal Resistance (measurements & simulations) In Electronic Devices

Thermal Resistance (measurements & simulations) In Electronic Devices Thermal Resistance (measurements & simulations) In Electronic Devices A short online course PART 3 Eric Pop Electrical Engineering, Stanford University 1 Topics 1) Basics of Joule Heating 2) Heating in

More information

Thermo-Mechanical Reliability of Micro- Interconnects in Three-Dimensional Integrated Circuits: Modeling and Simulation

Thermo-Mechanical Reliability of Micro- Interconnects in Three-Dimensional Integrated Circuits: Modeling and Simulation Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 5-2010 Thermo-Mechanical Reliability of Micro- Interconnects in Three-Dimensional Integrated Circuits: Modeling

More information

Friction and Elongation of Al Electrodes due to Micro-Sliding between the Inner Mo Electrode and the Al Electrodes in High-Power Devices

Friction and Elongation of Al Electrodes due to Micro-Sliding between the Inner Mo Electrode and the Al Electrodes in High-Power Devices Materials Transactions, Vol. 43, No. 9 (2002) pp. 2326 to 2330 c 2002 The Japan Institute of Metals EXPRESS REGULAR ARTICLE Friction and Elongation of Al Electrodes due to Micro-Sliding between the Inner

More information

314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY Wei Tan, I. Charles Ume, Ying Hung, and C. F. Jeff Wu

314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY Wei Tan, I. Charles Ume, Ying Hung, and C. F. Jeff Wu 314 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 2, MAY 2010 Effects of Warpage on Fatigue Reliability of Solder Bumps: Experimental and Analytical Studies Wei Tan, I. Charles Ume, Ying Hung,

More information

Experimental Analysis of Wire Sandwiched Micro Heat Pipes

Experimental Analysis of Wire Sandwiched Micro Heat Pipes Experimental Analysis of Wire Sandwiched Micro Heat Pipes Rag, R. L. Department of Mechanical Engineering, John Cox Memorial CSI Institute of Technology, Thiruvananthapuram 695 011, India Abstract Micro

More information

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Torsten Hauck*, Wim Teulings*, Evgenii Rudnyi ** * Freescale Semiconductor Inc. ** CADFEM GmbH Abstract In this paper we will

More information

Jetting of Isotropic Conductive Adhesives with Silver Coated Polymer Particles

Jetting of Isotropic Conductive Adhesives with Silver Coated Polymer Particles Jetting of Isotropic Conductive Adhesives with Silver Coated Polymer Particles Gustaf Mårtensson 1,2 Kalland, Erik 3, Redford, Keith 3, Oppland, Ottar 5 1 Chalmers University of Technology, Göteborg, Sweden

More information

CHIP/PACKAGE CO-ANALYSIS OF THERMAL-INDUCED STRESS FOR FAN-OUT WAFER LEVEL PACKAGING

CHIP/PACKAGE CO-ANALYSIS OF THERMAL-INDUCED STRESS FOR FAN-OUT WAFER LEVEL PACKAGING CHIP/PACKAGE CO-ANALYSIS OF THERMAL-INDUCED STRESS FOR FAN-OUT WAFER LEVEL PACKAGING Stephen Pan, Zhigang Feng, Norman Chang ANSYS, Inc. San Jose, CA, USA stephen.pan, zhigang.feng, nchang@ansys.com ABSTRACT

More information

Nanocarbon Technology for Development of Innovative Devices

Nanocarbon Technology for Development of Innovative Devices Nanocarbon Technology for Development of Innovative Devices Shintaro Sato Daiyu Kondo Shinichi Hirose Junichi Yamaguchi Graphene, a one-atom-thick honeycomb lattice made of carbon, and a carbon nanotube,

More information

Mechanical Analysis Challenges in Micro-Electronic Packaging

Mechanical Analysis Challenges in Micro-Electronic Packaging Mechanical Analysis Challenges in Micro-Electronic Packaging Luke J. Garner, and Frank Z. Liang Intel Corporation Electrical and thermal performance enhancing features in modern integrated circuits have

More information

Reduced Order Modeling Enables System Level Simulation of a MEMS Piezoelectric Energy Harvester with a Self-Supplied SSHI-Scheme

Reduced Order Modeling Enables System Level Simulation of a MEMS Piezoelectric Energy Harvester with a Self-Supplied SSHI-Scheme Reduced Order Modeling Enables System Level Simulation of a MEMS Piezoelectric Energy Harvester with a Self-Supplied SSHI-Scheme F. Sayed 1, D. Hohlfeld², T. Bechtold 1 1 Institute for Microsystems Engineering,

More information