Mitigating Semiconductor Hotspots

Size: px
Start display at page:

Download "Mitigating Semiconductor Hotspots"

Transcription

1 Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919)

2 Agenda Motivation Embedded ThermoElectric Cooler (etec) Applications Summary 2

3 Localized High Heat Flux Areas Create Hot Spots Hot spots adversely impact Reliability Performance Leakage power Yield Hot spots get worse as CMOS scales sensitive to small changes in temperature Source: Debendra Mallik, Intel, MEPTEC (2/06) 3

4 CMOS Scaling Increases Total and Nonuniform Power Dissipation Total power dissipation increases Higher dynamic power More transistors and interconnects Faster clock frequencies Higher leakage power Nonuniform power dissipation becomes more pronounced 4

5 Thermal Stack-Up (Typical Case Example) Air Thermal Resistance ( o C/W) Heat Sink TIM Package Θ JA = T J T A TDP Al Fins Cu Base Thermal Grease IHS TIM Nonuniform power Hot spots significantly reduce the total cooling capability Source: Intel (MEPTEC 2/06) Die 5

6 Uniform vs. Non-Uniform Hot-Spot Cooling Uniform Cooling Conventional components (TIMs, spreaders, heat sinks ) - Available improvements already made - Further improvements are quite costly Reduces temp everywhere across chip Shifts all temperatures down Inefficient for just hot-spot cooling (overcools rest of chip) Hot-Spot Cooling Nextreme's etec Lops-off top of hot spot #1 Provides "new knob" for improving TDP in increments T hot spot #1 T hot spot #2 T junction limit T hot spot X T hot spot #1 T hot spot #2 Temperature (ºC) T average T hot spot #2 T average T average 6

7 Hot-Spot Cooling (Example with 60W TDP) Reduces Overall Power Consumption By Not Cooling Background Heat Profile Cooling + = Profile Resulting Thermal Profile Thermal = Load at Heat-sink 58W (COP = 1) + 60W = = 120W 2W Uniform Cooling Entire Chip Hot-Spot Cooling (COP = 1) 58W 2W + = 2W Hot spot only = 62W Hot-spot cooling requires a fraction of power 7

8 Cool Hot Spots To Increase Chip Performance 1) Increase chip performance within existing TDP (Thermal Design Power is cooling capacity / thermal envelop) Support Windows Vista and mobile / compact systems Handle new ICs with more pronounced hot spots Extend use of current thermal components (sinks, TIM, spreader ) 2) Increase chip performance by allowing significantly increased TDP In conjunction with new thermal components Performance-intensive apps are thermally clock gated (CPU runs at less than rated frequency) New High- Performance Bin Core Cooling Unit Price Sustained Frequency Rated Frequency Speed (GHz) 8

9 Nextreme s Embedded Thermoelectric Cooler (etec) Miniature Heat Pump Positioned Close To Heat Source Thermoelectrics Technology Applications Thermoelectrics Solid-state heat pump Heat or Cool Power Generation Converts heat into electricity Nextreme s unique etec Nano-engineered thin-films (vs. conventional bulk TEC) Very thin Very thin High heat flux High heat flux Very fast Efficient Solid-state Microfabrication scalability 9

10 Product: Micro Thermoelectric Module Solid State Heat Pump Size: 2.5mm x 2.5mm x 100 μm Embedded semiconductor cooling High performance & efficiency Cooling PN couple (0.32 mm sq.) Heat Spreader Ground Maximum cooling: 40 C Maximum Pumping: 175 W/cm 2 Demonstrated in-package hot spot cooling: 7 C, up to 14 C Power etec (7x7) 2.5 mm sq. Tiny, Thin and Flexible Form-Factor Factor 10

11 etec Performance Advantage Enables Hot Spot Cooling Conventional discrete TEC (Bulk) etec (4x4) ΔT (ºC) etec (Next Generation) etec Load Line (as measured) Process Improvements - Reduce contact resistance - Improve PN materials - Reduce parasitics Bulk Performance Thin Film Chip Cooling Performance Space Advantage Heat Flux (W/cm 2 )

12 IC Package Applications For Hot Spot Cooling Processors, Graphics, ASICs, DSPs Lidded Servers Lidded and Lidless Game Boxes Desktop PCs Lidless Cell Phones Add-in Cards Mobile PCs 12

13 In-Package Hot Spot Cooling Embedded Thermoelectric Cooler (etec) Heat Sink TIM2 Substrate IHS TIM1 Die etec Demonstrated: up to 14 o C etec Cools Hot Spot Only Demonstrated Increased yield, reliability & performance Complementary to traditional, uniform cooling solutions 13

14 Applications: CMOS ICs in Lidless Packages ICs GPUs GPUs CPUs, GPUs, ASICs Implementation Add-in cards Add-in cards Motherboards System Desktop PCs Notebook PCs MoDT* & Notebook PCs System cooling Heat sink & fan Heat sink & fan Heat pipe, heat sink and fan Heat Sink/Cold Plate TIM Die Substrate etec *MoDT = Mobile on Desktop 14

15 etec Fits Between Die and Cold Plate For Lidless Chip Applications Cold plate or heat-sink base Direct bond etec TIM IC die Substrate etec's Power Connector Flip Chip BGA PCB 15

16 Cool Hot Spots on Backside of Die by ~5 º C Die back side Core 2 Die front side Core 1 Cache Die back side Cool hot spots by ~5 º C Die front side 16

17 etec Hot-Spot Cooling (Simulation) Power Density (cm2) x (mm) Power Map y (mm) 0.2 Temperature ('C) x (mm) y (mm) Temperatures without etec Temperature ('C) x (mm) y (mm) 0.2 Temperatures with etec 17

18 etec Heat Pumping Performance (25 PN Couples at 85 º C) Qc (W) DT = 0 ºC DT = 10 ºC DT = 19 ºC DT = 29 ºC DT = 38 ºC I (A) Q c = N(IST c KΔT - ½ I 2 R) I max = S T c / R Conduction Q max = ½ S 2 T c 2 / R Joule heating N = number of PN couples S = S p S n (Seebeck coef) ΔT = T h T c A = area of thermoelectric l = thickness of thermoelectric K = k A / l R = ρ l / A 18

19 etec ΔT Performance (25 PN Couples at 85 º C) Delta T (ºC) Qc = 0 W Qc = 3 W Qc = 6 W Qc = 9 W Qc = 12 W I (A) (I S T c ½I 2 R - Q c ) ΔT = K ΔT max = ½ S 2 T 2 c / K R S = S p S n (Seebeck coef) ΔT = T h T c A = area of thermoelectric l = thickness of thermoelectric K = k A / l R = ρ l / A 19

20 etec Loadlines (i.e. 25 PN Couples at 85 º C) COP=0.3 I = 0.9 A I = 1.7 A Delta T (ºC) I = 2.6 A Q (W) Q (W/cm 2 ) etec cools ~75 W/cm 2 by ~5 º C with High COP 20

21 etec COP Performance (25 PN Couples at 85 º C) COP DT = 5 ºC DT = 10 ºC DT = 19 ºC DT = 29 ºC DT = 38 ºC I (A) Coefficient of Performance Heat pumped COP = Power input I S T = c K ΔT - ½ I 2 R I S ΔT + I 2 R S = S p S n (Seebeck coef) ΔT = T h T c A = area of thermoelectric l = thickness of thermoelectric K = k A / l R = ρ l / A 21

22 etec Performance (T H = 85 º C) 5 ΔT = 5 º C 5 ΔT = 10 º C 4 Next Gen 4 COP 3 2 Current 3 2 Next Gen 1 1 Current Q' (W/cm 2 ) Q' (W/cm 2 ) 22

23 Summary New embedded thermoelectric cooler (etec) provides localized, hotspot cooling in close proximity to the die etec complements uniform platform-level chip cooling approaches Advantages include high heat-flux, fast response time and small & thin size etecs are optimized for small area, high heat-flux with modest ΔT Applications are high-performance CMOS ICs, Photonics, Edge- Emitting Lasers, etc. Benefits are enhancing chip performance, reliability and yield 23

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

Intel Stratix 10 Thermal Modeling and Management

Intel Stratix 10 Thermal Modeling and Management Intel Stratix 10 Thermal Modeling and Management Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 List of Abbreviations...

More information

Component & Board Level Cooling.

Component & Board Level Cooling. > Component & Board Level Cooling www.resheji.com (C) Flomerics Ltd 2002 Section Title Components PCBs Packages Interface Materials Heat Sinks Thermoelectric Coolers Heat Pipes Printed Circuit Boards

More information

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC ttarter@pkgscience.com INTRODUCTION Irrespective of if a device gets smaller, larger, hotter or cooler, some method

More information

Thermal Characterization and Simulation of a fcbga-h device

Thermal Characterization and Simulation of a fcbga-h device Thermal Characterization and Simulation of a fcbga-h device Eric Ouyang, Weikun He, YongHyuk Jeong, MyoungSu Chae, SeonMo Gu, Gwang Kim, Billy Ahn STATS ChipPAC Inc Mentor Graphics Company Email: eric.ouyang@statschippac.com;

More information

Implications on the Design

Implications on the Design Implications on the Design Ramon Canal NCD Master MIRI NCD Master MIRI 1 VLSI Basics Resistance: Capacity: Agenda Energy Consumption Static Dynamic Thermal maps Voltage Scaling Metrics NCD Master MIRI

More information

MPC-D403 MPC-D404. Ultra-small Peltier Coolers. High impedance Low control current High power efficiency

MPC-D403 MPC-D404. Ultra-small Peltier Coolers. High impedance Low control current High power efficiency MPC-D MPC-D Ultra-small Peltier Coolers High impedance Low control current High power efficiency MPC-D / D. Introduction. General description The MPC- / D micro chip-sized thermoelectric coolers (TEC)

More information

Thermal Interface Material Performance Measurement

Thermal Interface Material Performance Measurement Thermal Interface Material Performance Measurement Long Win Science & Technology Co., Ltd. www.longwin.com longwin@longwin.com 886-3-4643221 886-3-4986875 2007/07/16 Contents 1. Introduction Heat Transfer

More information

Transient Through-Silicon Hotspot Imaging

Transient Through-Silicon Hotspot Imaging Transient Through-Silicon Hotspot Imaging 1 MEPTEC Heat Is On Symposium March 19, 2012 K. Yazawa* Ph.D. Research, Microsanj LLC., D. Kendig (Microsanj), A. Shakouri (Purdue Univ.) Info@microsanj.com +1

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Thermal Management In Microelectronic Circuits

Thermal Management In Microelectronic Circuits Thermal Management In Microelectronic Circuits Prakash Bhartia*, C.M., Ph.D., F.R.S.C. Natel Engineering Co., Inc. 9340 Owensmouth Avenue Chatsworth, CA 91311-6915 Phone: (818) 734-6500 www.natelengr.com

More information

ABSTRACT. Localized thermoelectric self cooling in semiconductor materials is among the

ABSTRACT. Localized thermoelectric self cooling in semiconductor materials is among the ABSTRACT Title of Thesis: HOTSPOT REMEDIATION USING GERMANIUM SELF COOLING TECHNOLOGY Horacio Cesar Nochetto, Masters Of Science, 2011 Thesis Directed By: Professor Avram Bar-Cohen, Department of Mechanical

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Thermal Dissipation in Bonded Structures

Thermal Dissipation in Bonded Structures Thermal Dissipation in Bonded Structures Rajiv V. Joshi,T. Smy 1, K. Banerjee 2, A. Topol IBM T. J. Watson Research Center Yorktown Heights, NY 1 University of Carleton, Ottawa, Canada 2 University of

More information

The Thermal Challenge

The Thermal Challenge The Thermal Challenge Benefits of thermal interface materials (TIM) especially dedicated to power electronics The enhancements of semiconductors throughout the last decades targeted the improvement of

More information

Next-Generation Packaging Technology for Space FPGAs

Next-Generation Packaging Technology for Space FPGAs Power Matters. Next-Generation Packaging Technology for Space FPGAs Microsemi Space Forum Russia November 2013 Raymond Kuang Director of Packaging Engineering, SoC Products Group Agenda CCGA (ceramic column

More information

Modular Thermal Design Concepts: Thermal Design of a Spacecraft on a Module Level for LEO Missions

Modular Thermal Design Concepts: Thermal Design of a Spacecraft on a Module Level for LEO Missions Modular Thermal Design Concepts: Thermal Design of a Spacecraft on a Module Level for LEO Missions Mark Barton AeroAstro mark.barton@aeroastro.com 703.723.9800 x 131 2005 AIAA/USU Conference on Small Satellites

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. Thermal Management

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. Thermal Management FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect Thermal Management Figure information preceeding page Free convection thermoelectric cooler (Peltier cooler) with heat sink surface

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone*

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone* Nice, Côte d Azur, France, 27-29 September 26 THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS Yasuo Osone* *Mechanical Engineering Research Laboratory, Hitachi, Ltd., 832-2

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information

Dynamic Thermal Management of Processors Using Thermoelectric Coolers

Dynamic Thermal Management of Processors Using Thermoelectric Coolers Dynamic Thermal Management of Processors Using Thermoelectric Coolers By Sriram Jayakumar Submitted in partial fulfillment of the requirements of the degree of Bachelor of Science with Honors in Computer

More information

Introduction To Thermoelectrics

Introduction To Thermoelectrics 1462 International Drive Traverse City, MI 49686 231-947-0110 www.tellurex.com A Brief History Introduction To Thermoelectrics Early 19th century scientists, Thomas Seebeck and Jean Peltier, first discovered

More information

Low power Architectures. Lecture #1:Introduction

Low power Architectures. Lecture #1:Introduction Low power Architectures Lecture #1:Introduction Dr. Avi Mendelson mendlson@ee.technion.ac.il Contributors: Ronny Ronen, Eli Savransky, Shekhar Borkar, Fred PollackP Technion, EE department Dr. Avi Mendelson,

More information

Stacked Chip Thermal Model Validation using Thermal Test Chips

Stacked Chip Thermal Model Validation using Thermal Test Chips Stacked Chip Thermal Model Validation using Thermal Test Chips Thomas Tarter Package Science Services ttarter@pkgscience.com Bernie Siegal Thermal Engineering Associates, Inc. bsiegal@thermengr.net INTRODUCTION

More information

Thermal Management at Nanoscale: Problems and Opportunities

Thermal Management at Nanoscale: Problems and Opportunities Thermal Management at Nanoscale: Problems and Opportunities Alexander A. Balandin Nano-Device Laboratory Department of Electrical Engineering and Materials Science and Engineering Program University of

More information

Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers

Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers Mohammad Javad Dousti and Massoud Pedram Department of Electrical Engineering, University of Southern California, Los

More information

Boundary Condition Dependency

Boundary Condition Dependency Boundary Condition Dependency of Junction to Case Thermal Resistance Introduction The junction to case ( ) thermal resistance of a semiconductor package is a useful and frequently utilized metric in thermal

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

Thermal Sensors and Actuators

Thermal Sensors and Actuators Thermal Sensors and Actuators Part I Fundamentals of heat transfer Heat transfer occurs where there is a temperature gradient until an equilibrium is reached. Four major mechanism Thermal conduction Natural

More information

EXPERIMENTAL ANALYSIS FOR THERMAL PERFORMANCE OF A VAPOR CHAMBER APPLIED TO HIGH-PERFORMANCE SERVERS

EXPERIMENTAL ANALYSIS FOR THERMAL PERFORMANCE OF A VAPOR CHAMBER APPLIED TO HIGH-PERFORMANCE SERVERS Journal of Marine Science and Technology, Vol. 19, No. 4, pp. 353-360 (2011) 353 EXPERIMENTAL ANALYSIS FOR THERMAL PERFORMANCE OF A VAPOR CHAMBER APPLIED TO HIGH-PERFORMANCE SERVERS Rong-Tsu Wang*, Jung-Chang

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 Ročník 2012 Číslo VI The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 1 Department of Microelectronics, Faculty of Electrical Engineering and

More information

HEAT TRANSFER THERMAL MANAGEMENT OF ELECTRONICS YOUNES SHABANY. C\ CRC Press W / Taylor Si Francis Group Boca Raton London New York

HEAT TRANSFER THERMAL MANAGEMENT OF ELECTRONICS YOUNES SHABANY. C\ CRC Press W / Taylor Si Francis Group Boca Raton London New York HEAT TRANSFER THERMAL MANAGEMENT OF ELECTRONICS YOUNES SHABANY C\ CRC Press W / Taylor Si Francis Group Boca Raton London New York CRC Press is an imprint of the Taylor & Francis Group, an informa business

More information

SIMULATION AND ASSESSMENT OF AIR IMPINGEMENT COOLING ON SQUARED PIN-FIN HEAT SINKS APPLIED IN PERSONAL COMPUTERS

SIMULATION AND ASSESSMENT OF AIR IMPINGEMENT COOLING ON SQUARED PIN-FIN HEAT SINKS APPLIED IN PERSONAL COMPUTERS 20 Journal of Marine Science and Technology, Vol. 13, No. 1, pp. 20-27 (2005) SIMULATION AND ASSESSMENT OF AIR IMPINGEMENT COOLING ON SQUARED PIN-FIN HEAT SINKS APPLIED IN PERSONAL COMPUTERS Hwa-Chong

More information

Features of Uni-Thermo

Features of Uni-Thermo Pelti ier Device in the 21st Uni-Thermo Century Hi eliability NTENTS Comparison with a Conventional Peltier Device. The Result of Operating Reliability Test Peltier Device Uni-Thermo Quality Chart Peltier

More information

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance

Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance Thermal Characterization of Packaged RFIC, Modeled vs. Measured Junction to Ambient Thermal Resistance Steven Brinser IBM Microelectronics Abstract Thermal characterization of a semiconductor device is

More information

Peltier Application Note

Peltier Application Note Peltier Application Note Early 19th century scientists, Thomas Seebeck and Jean Peltier, first discovered the phenomena that are the basis for today s thermoelectric industry. Seebeck found that if you

More information

Micro-coolers fabricated as a component in an integrated circuit

Micro-coolers fabricated as a component in an integrated circuit Micro-coolers fabricated as a component in an integrated circuit James Glover 1, Ata Khalid 2, Alex Stephen 3, Geoff Dunn 3, David Cumming 2 & Chris H Oxley 1 1Electronic Engineering Dept., Faculty of

More information

Heat Transfer and Flow Simulation in PCB

Heat Transfer and Flow Simulation in PCB Logic Fruit Technologies White Paper 806, 8 th Floor, BPTP Park Centra, Sector 30, Gurgaon. Pin: 122001 T: +91-124-4117336 W: http://www.logic-fruit.com Heat Transfer and Flow Simulation in PCB By: Sagar

More information

UV-LED Module Design with Maximum Power Density

UV-LED Module Design with Maximum Power Density UV-LED Module Design with Maximum Power Density Manfred Scholdt 1, Christian Herbold 1, Marc Schneider 2, Cornelius Neumann 1 1 e 2 Institute for Data Processing and Electronics e (LTI) KIT Universität

More information

ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS. Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri

ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS. Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri Nice, Côte d Azur, France, 27-29 September 2006 ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri Baskin School of Engineering, University of California

More information

Towards a Thermal Moore s Law

Towards a Thermal Moore s Law Purdue University Purdue e-pubs CTRC Research Publications Cooling Technologies Research Center 8-1-2007 Towards a Thermal Moore s Law Shankar Krishnan Bell Laboratories S V. Garimella Purdue University,

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers

Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers Zhenbang Yuan a, Jingwei Wang b, Di Wu c, Xu Chen a, Xingsheng Liu b,c a School of Chemical Engineering & Technology of

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Semiconductor Thermal Resistance Standards versus Real Life. Bernie Siegal Thermal Engineering Associates, Inc.

Semiconductor Thermal Resistance Standards versus Real Life. Bernie Siegal Thermal Engineering Associates, Inc. Semiconductor Thermal Resistance Standards versus Real Life Bernie Siegal Thermal Engineering Associates, Inc. bsiegal@thermengr.com Overview Introduction Objective Temperature vs. Thermal Current Standard

More information

THERMAL ANALYSIS AND OPTIMIZATION OF THERMAL PAD THICKNESS FOR TRANSISTOR MOUNTING IN FOR CPU S

THERMAL ANALYSIS AND OPTIMIZATION OF THERMAL PAD THICKNESS FOR TRANSISTOR MOUNTING IN FOR CPU S ISSN Number (online): 2455-4650 THERMAL ANALYSIS AND OPTIMIZATION OF THERMAL PAD THICKNESS FOR TRANSISTOR MOUNTING IN FOR CPU S S. Balakrishnan *, M. Manikandan *Corresponding Author Department of Mechanical

More information

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition Using FLOTHERM and the Command Center to Exploit the Principle of Superposition Paul Gauché Flomerics Inc. 257 Turnpike Road, Suite 100 Southborough, MA 01772 Phone: (508) 357-2012 Fax: (508) 357-2013

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

eterostrueture Integrated Thermionic Refrigeration

eterostrueture Integrated Thermionic Refrigeration eterostrueture Integrated Thermionic Refrigeration Ali Shakouri, and John E. Bowers Department of Electrical and Computer Engineering University of California, Santa Barbara, CA USA 936 ABSTRACT Thermionic

More information

Lednium Series Optimal X (10-watts,120 Viewing Angle)

Lednium Series Optimal X (10-watts,120 Viewing Angle) (10-watts,120 Viewing Angle) Revolutionary 3-dimensional packaged LED source Robust energy-efficient design with long operating life Low thermal resistance (2.5 C/W) Exceptional spatial uniformity Available

More information

Memory Thermal Management 101

Memory Thermal Management 101 Memory Thermal Management 101 Overview With the continuing industry trends towards smaller, faster, and higher power memories, thermal management is becoming increasingly important. Not only are device

More information

Transient Thermal Measurement and Behavior of Integrated Circuits

Transient Thermal Measurement and Behavior of Integrated Circuits Transient Thermal Measurement and Behavior of Integrated Circuits Dustin Kendig¹*, Kazuaki Kazawa 1,2, and Ali Shakouri 2 ¹Microsanj LLC 3287 Kifer Rd, Santa Clara, CA 95051, USA ² Birck Nanotechnology

More information

GaN Thermal Analysis for High-Performance Systems

GaN Thermal Analysis for High-Performance Systems www.qorvo.com GaN Thermal Analysis for High-Performance Systems Dylan Murdock, Mechanical Engineer - Advanced Packaging, Infrastructure & Defense Products, Qorvo Mark C. Woods, Mechanical Engineer - Advanced

More information

Co-Design of Multicore Architectures and Microfluidic Cooling for 3D Stacked ICs

Co-Design of Multicore Architectures and Microfluidic Cooling for 3D Stacked ICs Co-Design of Multicore Architectures and Microfluidic Cooling for 3D Stacked ICs Zhimin Wan, He Xiao, Yogendra Joshi*, Sudhakar Yalamanchili Georgia Institute of Technology, Atlanta, USA * Corresponding

More information

ATE1-65-R8A TEC Module

ATE1-65-R8A TEC Module TEC Modules ATE--R8A Figure. The Photo of Actual ATE--R8A FEATURES Maximum Input Voltage: 8.V Maximum DT: 7.ºC Recommended operation current:.7 of I Recommended operation voltage:.8 of V Low Cost Long

More information

1A Ultra Low Dropout Linear Regulator

1A Ultra Low Dropout Linear Regulator FEATURES Ultra Low Dropout Voltage Compatible with low ESR MLCC as Input/Output Capacitor Good Line and Load Regulation Guaranteed Output Current of 1A Available in SOT-223 and TO-252 Packages Fixed Output

More information

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the Thermal Measurements & Characterizations of Real Processors Honors Thesis Submitted by Shiqing, Poh In partial fulfillment of the Sc.B. In Electrical Engineering Brown University Prepared under the Direction

More information

Analog Technologies. ATEC1 49 Circular TEC Modules

Analog Technologies. ATEC1 49 Circular TEC Modules Figure 1.1. The Photo of Actual Sealed Figure 1.2. The Photo of Actual Sealed FEATURES Circular shape with a center hole High Maxim Voltage/Current Ratio: 5.5 V / 3A Long Life Time APPLICATIONS Stabilize

More information

Graser User Conference Only

Graser User Conference Only PCB Power Delivery Design from DC to Mid-Frequency Foxconn Abby Chou Company Introduction February 1974 Tucheng District 1.23 million Server Storage Mobile Phone Pad TV Voltage Drop and Thermal Co-Simulation

More information

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices

Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Optimizing Diamond Heat Spreaders for Thermal Management of Hotspots for GaN Devices Thomas Obeloer*, Bruce Bolliger Element Six Technologies 3901 Burton Drive Santa Clara, CA 95054 *thomas.obeloer@e6.com

More information

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 12: Energy and Power James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L12 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today a working understanding of

More information

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES D. Celo, R. Joshi 1, and T. Smy Dept. of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6, ph: 613-520-3967, fax: 613-520-5708:

More information

Application note AN0088. Number. 05-Aug-04. Date. Helmut Artmeier. Author. Thermal Design Guidelines. Subject ETX-PM.

Application note AN0088. Number. 05-Aug-04. Date. Helmut Artmeier. Author. Thermal Design Guidelines. Subject ETX-PM. Number AN0088 Date 05-Aug-04 Author Helmut Artmeier Subject Thermal Design Guidelines Related Products ETX-PM Date Edited by Alteration to previous document revision 05.08.04 AHE Initial release document

More information

CBT-140 White LEDs. CBT-140 Product Datasheet. Features: Table of Contents. Applications

CBT-140 White LEDs. CBT-140 Product Datasheet. Features: Table of Contents. Applications CBT-140 White LEDs Features: Table of Contents Technology Overview...2 Test Specifications...2 Binning Structure...3 Chromaticity Bins...4 Product Shipping & Labeling Information...7 Electrical Characteristics...

More information

High Speed VCSELs With Separated Quantum Wells

High Speed VCSELs With Separated Quantum Wells High Speed VCSELs With Separated Quantum Wells V. V. Lysak 1,2, I. M. Safonov 2, Y. M. Song 1, I. A. Sukhoivanov 1,3, Yong Tak Lee 1 1 Department of Information and Communications, Gwangju Institute of

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Technical Notes. Introduction. PCB (printed circuit board) Design. Issue 1 January 2010

Technical Notes. Introduction. PCB (printed circuit board) Design. Issue 1 January 2010 Technical Notes Introduction Thermal Management for LEDs Poor thermal management can lead to early LED product failure. This Technical Note discusses thermal management techniques and good system design.

More information

Effective Thermal Management of Crystal IS LEDs. Biofouling Control Using UVC LEDs

Effective Thermal Management of Crystal IS LEDs. Biofouling Control Using UVC LEDs Biofouling Control Using UVC LEDs OCTOBER 10, 2017 Effective Thermal Management of This application note describes the thermal management concepts and guidelines for the proper use of Crystal IS UVC LEDs.

More information

Design Guidelines for SFT Chipsets Assembly

Design Guidelines for SFT Chipsets Assembly Design Guidelines for SFT Chipsets Assembly SFT-10 SFT-16 SFT-20 Table of Contents 1. Design Guidelines 2 1.1 Electrical Insulation 2 1.2 Thermal Management 3 2. Available Reference Designs for Thermal

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Thermal aspects of 3D and 2.5D integration

Thermal aspects of 3D and 2.5D integration Thermal aspects of 3D and 2.5D integration Herman Oprins Sr. Researcher Thermal Management - imec Co-authors: Vladimir Cherman, Geert Van der Plas, Eric Beyne European 3D Summit 23-25 January 2017 Grenoble,

More information

New Functions. Test mode and Specimen failure. Power cycle test system with thermal analysis capability using structure function.

New Functions. Test mode and Specimen failure. Power cycle test system with thermal analysis capability using structure function. using structure function. (1) Page 1/5 Test mode and failure There are two modes in a power cycle test: Tj Power cycle that changes the junction temperature (Tj Temperature) inside of the power semiconductor

More information

Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles

Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles Mater. Res. Soc. Symp. Proc. Vol. 1044 2008 Materials Research Society 1044-U10-06 Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles Gehong Zeng 1, Je-Hyeong

More information

DISTRIBUTED CONTROL TO IMPROVE PERFORMANCE OF THERMOELECTRIC COOLERS. Richard D. Harvey. Thesis. Submitted to the Faculty of the

DISTRIBUTED CONTROL TO IMPROVE PERFORMANCE OF THERMOELECTRIC COOLERS. Richard D. Harvey. Thesis. Submitted to the Faculty of the DISTRIBUTED CONTROL TO IMPROVE PERFORMANCE OF THERMOELECTRIC COOLERS By Richard D. Harvey Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment of the requirements

More information

ATS WHITE PAPER. Air Flow Measurement in Electronic Systems

ATS WHITE PAPER. Air Flow Measurement in Electronic Systems ATS WHITE PAPER Air Flow Measurement in Electronic Systems Air Flow Measurement in Electronic Systems Electronic circuit boards create some of the most complex and highly three dimensional fluid flows

More information

Power and Heat: The Big Picture

Power and Heat: The Big Picture Power Power Density (W/cm 2 ) Power Dissipation in Nanoscale CMOS and Carbon Nanotubes Eric Pop Dept. of Electrical & Computer Engineering http://poplab.ece.uiuc.edu E. Pop 1 Power and Heat: The Big Picture

More information

NEEDS Thermoelectric Compact Model Documentation Version 1.0.0

NEEDS Thermoelectric Compact Model Documentation Version 1.0.0 NEEDS Thermoelectric Compact Model Documentation Version 1.0.0 Published on August 31, 2015 Introduction The NEEDS thermoelectric compact model (TEsegment.va) describes a homogeneous segment of thermoelectric

More information

DESIGN OPTIMIZATION OF MICROPROCESSOR HEATSINK AND ITS IMPACT ON PROCESSOR PERFORMANCE

DESIGN OPTIMIZATION OF MICROPROCESSOR HEATSINK AND ITS IMPACT ON PROCESSOR PERFORMANCE 8 International Journal on, Vol.3, No.1, January 2009 Abstract DESIGN OPTIMIZATION OF MICROPROCESSOR HEATSINK AND ITS IMPACT ON PROCESSOR PERFORMANCE 1 2 3 S.Manivannan, R.Arumugam, N.M Sudharsan 1 Department

More information

CD74HC195. High Speed CMOS Logic 4-Bit Parallel Access Register. Features. Description. Ordering Information. PInout. [ /Title (CD74 HC195 ) /Subject

CD74HC195. High Speed CMOS Logic 4-Bit Parallel Access Register. Features. Description. Ordering Information. PInout. [ /Title (CD74 HC195 ) /Subject Data sheet acquired from Harris Semiconductor SCHS165 September 1997 High Speed CMOS Logic 4-Bit Parallel Access Register [ /Title (CD74 HC195 ) /Subject High peed MOS ogic -Bit aralel ccess egiser) /Autho

More information

OPPA European Social Fund Prague & EU: We invest in your future.

OPPA European Social Fund Prague & EU: We invest in your future. OPPA European Social Fund Prague & EU: We invest in your future. PELTIER CELL OBJECT Your task is to get acquainted with the Peltier cell behavior in the ThermoElectric Generator mode (TEG) and in the

More information

Lednium Series Optimal X OVTL09LG3x Series

Lednium Series Optimal X OVTL09LG3x Series (10-watts,120 Viewing Angle) x x x x x Revolutionary 3-dimensional packaged LED source Robust energy-efficient design with long operating life Low thermal resistance (2.5 C/W) Exceptional spatial uniformity

More information

2366 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 11, NOVEMBER 2014

2366 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 11, NOVEMBER 2014 2366 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 11, NOVEMBER 2014 Power Blurring: Fast Static and Transient Thermal Analysis Method for Packaged Integrated Circuits

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

MEMS Piezoelectric Vibration Harvesting

MEMS Piezoelectric Vibration Harvesting ENERGY HARVESTING: MEMS Piezoelectric Vibration Harvesting Thermoelectric Harvesting Lindsay Miller, Alic Chen, Dr. Yiping Zhu, Deepa Madan, Michael Nill, Dr. Rei Cheng Juang, Prof. Paul K. Wright & Prof.

More information

MIL-STD-883E METHOD THERMAL CHARACTERISTICS

MIL-STD-883E METHOD THERMAL CHARACTERISTICS THERMAL CHARACTERISTICS 1. PURPOSE. The purpose of this test is to determine the thermal characteristics of microelectronic devices. This includes junction temperature, thermal resistance, case and mounting

More information

Topology Optimization of an Actively Cooled Electronics Section for Downhole Tools

Topology Optimization of an Actively Cooled Electronics Section for Downhole Tools Downloaded from orbit.dtu.dk on: Apr 01, 2019 Topology Optimization of an Actively Cooled Electronics Section for Downhole Tools Soprani, Stefano; Haertel, Jan Hendrik Klaas; Lazarov, Boyan Stefanov; Sigmund,

More information

Low Power CMOS Dr. Lynn Fuller Webpage:

Low Power CMOS Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email: Lynn.Fuller@rit.edu Department

More information

Technology /13/$31.00 c 2013 IEEE. Fu 3, Yan Zhang 2 and Johan Liu 2,4 No 149, Yanchang Road, Shanghai , China

Technology /13/$31.00 c 2013 IEEE. Fu 3, Yan Zhang 2 and Johan Liu 2,4 No 149, Yanchang Road, Shanghai , China Graphene Based Heat Spreader for High Power Chip Cooling Using Flip-chip Technology Shirong Huang 1, Yong Zhang 2, 4, Shuangxi Sun 4, Xiaogang Fan 2, Ling Wang 2, Yifeng Fu 3, Yan Zhang 2 and Johan Liu

More information

Substrate Selection Can Simplify Thermal Management

Substrate Selection Can Simplify Thermal Management highfrequencyelectronics.com NOVEMBER2017 Substrate Selection Can Simplify Thermal Management IN THIS ISSUE: Concepts of RF Power Amplification App Note: Holdover Oscillators In the News Market Reports

More information

Fully Integrated Dickson Charge Pumps with Optimized Power Efficiency

Fully Integrated Dickson Charge Pumps with Optimized Power Efficiency Proceedings of the World Congress on Engineering and Computer Science 00 ol Fully ntegrated Dickson Charge Pumps with Optimized Power Efficiency Jan Dreloigne Abstract This paper describes how the power

More information

ltcm Thermal Simulation of Microchannel Two-Phase Liquid Cooling of Cold Plates for Servers and Power Electronics Prof. John R. Thome and LTCM Staff

ltcm Thermal Simulation of Microchannel Two-Phase Liquid Cooling of Cold Plates for Servers and Power Electronics Prof. John R. Thome and LTCM Staff Thermal Simulation of Microchannel Two-Phase Liquid Cooling of Cold Plates for Servers and Power Electronics Prof. John R. Thome ltcm Heat and Mass Transfer Laboratory and LTCM Staff Based partially on

More information

Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet

Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet Agilent HLMP-CW18, HLMP-CW19, HLMP-CW28, HLMP-CW29, HLMP-CW38, HLMP-CW39 T-1 3/4 Precision Optical Performance White LED Data Sheet Description These Super Bright Precision Optical Performance LED lamps

More information

Agilent HLMP-FWxx 5mm Extra Bright Flat Top InGaN White LED Lamps. Data Sheet

Agilent HLMP-FWxx 5mm Extra Bright Flat Top InGaN White LED Lamps. Data Sheet Agilent HLMP-FWxx 5mm Extra Bright Flat Top InGaN White LED Lamps. Data Sheet HLMP-FW66, HLMP-FW67 Description These high intensity white LED lamps are based on InGaN material technology. A blue LED die

More information