Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet

Size: px
Start display at page:

Download "Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet"

Transcription

1 Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet S. F. Wan Muhamad Hatta a, H. Hussin *a, b, F. Y. Soon a, Y. Abdul Wahab a, D. Abdul Hadi a, N. Soin a, A. H. M. Zahirul Alam c, A. N. Nordin c a Department of Electrical Engineering, University of Malaya, Kuala Lumpur, Malaysia b Center of Electronic Engineering Studies, Universiti Teknologi MARA, Selangor, Malaysia c Department of Electrical & Electronic Engineering, International Islamic University Malaysia, Kuala Lumpur, Malaysia corresponding author: hanimh@salam.uitm.edu.my Abstract A major effect of different measurement delay in seconds is revealed through quasi DC Stress Measure Stress experiments. We found that different delay of measurements in seconds contributed to different stress time needed to achieve target 10% degradation of Vth. The longer delay, the more time needed for the device to achieve 10% degradation of Vth. The effect on NBTI degradation is shown to be reliant on stress conditions (stress voltage, temperature) and device architecture (gate dimensions, gate oxide thickness). The NBTI lifetime was predicted by extrapolating lifetime to the nominal operating voltage from Time-to-Fail versus stress bias and oxide electric field plots. Both plots show that the lifetime of degradation parameter of Vth is lower compared to the lifetime of degradation parameter of Idsat. Keywords negative bias temperature instability (NBTI); pmosfet; semiconductor reliability estimation; threshold voltage instability; interface states I. INTRODUCTION The NBTI degradation is an important mechanism to consider when designing pmosfet since it causes a systematic shift in transistor parameters, specifically the drive current, transconductance, and threshold voltage, when the device is biased in inversion [1]. This in turn will lead to reduction of the transistor s speed and accelerates failure for logic and analog circuits. This degradation mechanism is further enhanced by the scaling of the transistor [2], the increase of temperature due to high dissipation of power and the increase of the electric field in the gate oxide. Similar to hot carrier degradation [3], the threshold voltage shift and instability due to NBTI need to be fully evaluated and modelled for ultra short channel device. It has been reported that the essence and consensus of the NBTI phenomenon are as the follows: (1) The degradation is fielddriven and is related to interface traps at the Si/SiO 2 interface; (2) Threshold voltage degradation due to NBTI is given by V th ~ A exp(-ne D/kT) t n with n ~ ; (3) Once NBTI stress is removed, a fraction of interface traps can self-anneal [3,4,5]. The concept of the Reaction Diffusion (RD) model has been commonly applied [7] to interpret the NBTI degradation mechanism and in this framework, the NBTI degradation is assumed to emerge due to hole-assisted breaking of Si-H bonds at the Si-SiO 2 interface. Numerical solution of the RD model [8] has shown that the time exponent n is dictated by the diffusing species and n is a sensitive measure of the diffusing species. Among the various exponents (n = 1/2 for proton, n = 1/6 for molecular H 2, n = 1/4 for atomic H), only the diffusion of atomic H appeared to be consistent with experimental results, as will be shown in the later sections. Recent studies have also indicated that the R-D model is questionable as the exponents are different from RD model [9]. But, by looking at the most recent work, the model is still applicable to explain the existence of Nit which the development of carrier separation method [10] is applied to explain the role of hole trapping effect and interface trap [11] thus validity of R-D model is guaranteed. The validity of R-D model is confirmed as the exponents obey the model after applying the carrier separation method [10]. In addition to time exponents, the activation energy of NBTI is also extensively studied in this work and various reports [12, 13] suggest that E a ~ ev. This paper will focus on the NBTI characterization based on the quasi-dc method of measurement (Stress-Measure-Stress). The time dependence of NBTI characterized by parametric shifts of pmosfet transistors is investigated and the transistor lifetime extraction will also be evaluated. The following section will elaborate on the devices used and experiment details. The subsequent sections discuss on the experimental results obtained by first focusing on the NBTI degradation effect on the standard 10 μm/0.18 μm pmosfet and subsequently looking at the NBTI degradation effect on varying W/L transistors. II. DEVICE AND EXPERIMENT DETAILS Keithley 4200 Semiconductor Characterization System (SCS) was used to perform the pre- and post-stress quasi-dc characterization on the sample wafers. The devices used in this study are p + -gate MOSFET fabricated on a standard 0.18 μm single poly dual-gate CMOS process, with thin gate oxide grown on dry oxidation and thick gate oxide grown on wet oxidation. A variation of transistor geometric properties under different /17/$ IEEE 206

2 bias conditions was observed in studying the impact of NBTI degradation on pmosfet. Thin gate oxide transistors (~3 nm) of different W/L properties respectively were compared with the thick gate oxide transistor (~5.6 nm) and their time-dependence degradation effects were studied. NBTI measurements involve the application of stress voltage to the gate that is higher than the nominal supply voltage (V DD = -1.8 V) and at high temperature in order to accelerate degradation. The higher stress voltage value as compared with the nominal supply voltage is based on most prominent researches in this area [14,15]. It is important to keep the stress voltage in the range of low to moderate to avoid impact ionization. The applied high stress voltage results in higher Vt and explains the contribution of oxide trap, Not together with interface trap, Nit which in turn degrade the performance of the devices [15]. The source, drain and substrate contacts were grounded in this experiment [1]. The wafers were subjected to different stress temperatures of 105 C, 125 C and 145 C. The range of the applied stress bias at Gate, V g was between -2.8 V to -3.4 V [16]. The stress were periodically interrupted and the following device parameters were measured at nominal voltages to monitor device degradation under stress: I dsat, subthreshold slope and V th. V th is the threshold voltage extracted through the constant current method, where V th is defined as V g at I d = -0.1μA*W/L. We had executed two different quasi-dc methods to observe the NBTI degradation of the transistors on the wafers, one of which has a longer delay (~ 9 second) in between stress and another favours a relatively smaller delay (~ 1 second). The effects of these delays on the NBTI degradation are presented in the following section. In this work, the NBTI Time-to-Fail (TTF) is defined as the time when the device parameters reach 10% of degradation. If degradation does not reach this limit, the TTF is estimated by extrapolating the degradation with respect to time using a power-law model (e.g. I dsat ~ t n ) which is fitted to the measured data. III. EXPERIMENTAL RESULTS AND DISCUSSION This section presents the experimental results obtained from the quasi-dc characterization and the analysis on the impact of NBTI degradation on the pmosfet transistors. The following subsection will discuss on the NBTI degradation on the thin gate oxide 10/0.18 μm pmosfet transistor and the NBTI characteristics will be analysed from the parametric degradation of the transistor, specifically the threshold voltage shift, subthreshold slope shift and drain current shift. Further observation on the NBTI degradation was carried out by analysing the field dependence and temperature activation on the threshold voltage shifts. The correlation coefficients of each of the fitting curves in the plots presented below are all > 0.98; which means that the measured data and the fitting curves are almost closely fitted together. A. NBTI Degradation on Thin Oxide p MOSFET transistor The NBTI-induced V th degradation dependence on the stress time is shown on Fig. 1(a) to Fig. 1(c). The experimental V th data is plotted on log-log scale versus stress time, and it is observed that the V th obeyed the power-law dependence of stress time with a fractional time exponent, n in the range of This indicates the dominant mechanism in the degradation is the diffusion controlled reaction. The diffusing hydrogen species is atomic hydrogen. The experimental results are consistent with similar experimental approach, quasi DC Stress Measure - Stress where n is between 0.2 to 0.3 [14]. n varies for the cases of different measurement approach, for e.g. n is ~ if using Slow On The Fly [10] and n is ~ if using Fast On The Fly or Fast Id-Vg method [17]. For the case of V g = -3.4 V, the V th shifts towards more negative values which indicates generation of fixed oxide charges and interface trap states after stress and can be approximated by a power law relationship, V th = Ct n, with exponent n ~ The other stress biases, V g = -3.2 V, -3.0 V and -2.8 V follow the same behaviour and having similar time exponents, n as presented in Fig. 1(a). This indicates that the time-dependent exponent is almost independent of the electric field across the gate oxide. The n exponent value is in good agreement with published results (n ~ 0.25) for bulk pmosfet [13]. Fig. 1(b) shows the V th degradation extracted using the constant current method but with different measurement time delays between stopping the stress for measurement and the start of the next stress phase. The method which resulted in the longer delay of ~ 9 second is a result from the complete I d-v d and I d-v g sweep measurement in between stress cycle while the shorter delay of ~ 1 second is a result of an optimized I d-v g sweep in between stress cycle. It is observed that the 8 second difference in delay had resulted in a significant difference in V th degradation which may lead to an underestimation of device lifetime extrapolation. From the Fig. 1(b), for a stress bias of -3.4 V, the shorter delay reaches the targeted degradation mark at 100 second stress while the longer delay at 300 second stress. While at -2.8 V of stress bias, the characterization with a shorter delay reaches the targeted degradation at 2000 second while at longer delay, the device reached targeted degradation at 7000 second. Additionally, higher n value was obtained for the longer delay measurement as compared to the measurement with shorter delay. This may suggest that a characterization method which suffers higher delays and stressed at lower stress biases may result in a higher underestimation of the degradation effects. The difference in the degradation effects for different time delays in the measurement can be attributed to the recovery effects which may have occurred more significantly during the longer delay [10]. This could also suggest that the V th is a combination of interface trap generation ( V IT ) and hole trapping or in other terms, the fixed oxide charges ( V OT). It has been reported that the interface trap generation, N IT, is highly modulated by the delay time [12] and hence it will be shown that the main contributing factor detected by the current measurement applied in this work is the N IT, which causes the degraded shifts in the transistor parameters. It is suggested from this observation that the delay between stress and measurement needs to be reduced or completely eliminated to avoid complications due to recovery effects. Fig. 1(c) presents the effect of various stress temperatures on the time evolution of the threshold voltage degradation, V th. It is observed that the degradation exponent, n slightly increases with the increase in stress temperature. This may be explained 207

3 by the fact that the lower temperature stress could result in slower hydrogen species dispersive diffusion with lower carrier hopping probability [18]. Lower carrier hopping probability would mean that the diffusing hydrogen species would have more time to relax to deeper states with longer release time. Hence at lower temperature, the observed degradation exponents are lower. The carrier hopping probability will be much higher at elevated temperatures and hence the probability of getting trapped into deep level is reduced. Thus, this explains the higher value of degradation exponent at higher temperature Fig. 2 presents the relationship between percentage change in subthreshold slope and threshold voltage. The linear relationship observed again suggests that the interface state generation, N IT as the primary degradation mechanism under NBTI stress. Another non-dominating degradation mechanism that may have induced by the bias temperature stress could be the fixed oxide charges, N OT. The contribution to V th caused by fixed oxide charges ( V OT) is less and these oxide charges cannot be easily detected due to the delay during measurement when the stress is interrupted. If the V th is fully due to the interface traps, the V th would follow power law dependence with the exponent factor, n ( V th ~ t n ) as can be seen in Fig. 2. Hence it can be stated that the V th measured by the DC method in this work is mainly due to interface traps, N IT. (c) Fig. 1 (a) V th degradation extracted using constant current method with measurement delay ~ 9 second. (b) Comparison of NBTI-induced V th degradation, but at different measurement delays. Delays are denoted by short delay and long delay which are of ~ 1 second and ~ 9 second respectively. (c) Time evolution of V th degradation under different stress temperatures (fixed oxide field). The degradation slope (time exponent) is represented by n in respective graphs. Fig. 2 Subthreshold slope degradation for 10μm/0.18 μm pmosfet transistor. (a) Fig. 3(a) and Fig. 3(b) present the V th degradation dependence on the stress voltage and the inverse of stress temperature respectively. For the case of Fig. 3(a), the behaviour of V th can be empirically modelled as below in order to determine whether the device degradation is dependent on the process or on the stress voltage [19], (b) where exponent factor, n ~ Parameter C is extracted from the slope of the graph to determine whether the device degradation is dependent on process (C ~ ) or stress voltage. It is observed from Figure 3(a), that the degradation slopes are much higher than 0.1 which indicates that the device degradation is highly dependent on the stress voltage. The number of free Si bonds broken due to NBTI degradation is a function of temperature and can be expressed by the Arrhenius relationship with the activation energy (E a) presented in Fig. 3(b). From Fig. 3(b), the activation energy, E a is extracted to be 0.20 ev to 0.22 ev for the gate stress bias of -3.4 V, -3.2 V and 208

4 -3.0 V. The extracted activation energy is almost identical for different stress voltage and in the similar range as reported in the literature [12]. The extracted E a show that the same mechanism is responsible for the degradation in V th when different stress voltage and temperature are applied. Fig. 3(b) shows that the V th increases with stress temperature which may result from the temperature dependence of the diffusion coefficient as presented in (2) [20]. This equation suggests that at higher temperature, the drift of hydrogen ions and the electrochemical reaction are enhanced, hence resulting to higher degradation. where D is the effective diffusion coefficient and k B is the Boltzmann constant. pmosfet (W = 10 μm) for a particular stress bias. The 0.22/0.18 μm pmosfet reaches the targeted degradation at shorter times with ~ 50s and ~ 700s of applied stress -3.4V and -3.0V respectively, compared to longer times of ~ 300s and ~ 2000s for the wider 10/0.18 μm pmosfet. The higher NBTI degradation in narrow pmosfet is assumed to due to the edge or stress effects in the shallow trench isolation (STI) structure of the device [21]. The mechanism is very much due to the structure of the STI itself, which induces stress on the device [22]. Fig. 5 shows the comparison of NBTI degradation effects on varying channel lengths of the pmosfet transistor. It was observed that the degradation was slightly more significant in very long channel lengths (L = 10 μm) compared to devices with shorter channel lengths. This can be attributed to the higher contribution of degradation by the fixed oxide charge, N OT in the middle section of the channel area for longer channel length. N IT has stronger influence on carrier mobility near the drain side of the channel, and is the dominant degradation in shorter channel length pmosfet [23,24]. (a) Fig. 4 Comparison of NBTI-induced V th degradation for (W/L) 0.22 μm/0.18 μm with 10 μm/0.18 μm. (b) Fig. 3 (a) V th degradation dependence on the stress bias. (b) V th degradation dependence on the stress temperature B. Comparison of NBTI Degradation with Varying Geometric Properties of p MOSFET Transistor It was observed in Fig. 4 that the narrower pmosfet (W = 0.22 μm) has higher NBTI degradation compared to the wider (a) 209

5 showed that the narrow width (W = 0.22 μm) with the STI stress effect and the very long channel length (L = 10 μm) both induced higher N IT and N OT, as pointed out in section 3.2. The V th lifetime is significantly lower compared to I dsat lifetime (as large as 2 order of magnitude for 10/0.18 μm), indicating the linear mode of operation of pmosfet is highly susceptible to NBTI effects. (b) Fig. 5 Comparison of NBTI-induced (a) I dsat degradation and (b) V th degradation for thin gate oxide pmosfet with varying channel lengths (10/10 μm vs 10/0.18 μm). Fig. 6 compares the NBTI-induced V th for thin gate oxide pmosfet with the thick gate oxide pmosfet. It was observed that the power-law time exponent, n for the thick gate oxide is at the higher end of the range of n for thinner gate oxide, as shown in Figure 1(a). This indicates that the same mechanisms (generation of N IT and N OT) are responsible for the degradation in thick gate oxide pmosfet. However, the thick gate oxide pmosfet shows faster degradation for the same oxide electric field compared to thin gate oxide pmosfet. This can be due to the difference in process condition between thin gate oxide (dry oxidation) and thick gate oxide (wet oxidation). It has been reported that wet oxidation will enhance V th during NBTI stress [25]. Fig. 7 Comparison of Time-to-Fail for thin gate oxide pmosfet with reference to the gate voltage at stress, V g,stress. Each set of lines represents the exponential regression of (W/L) = 10/0.18 μm, 10/10 μm and 0.22/0.18 μm pmosfet respectively. The solid lines represent TTF of I dsat while the dotted lines represent TTF of V th. Fig. 8 compares the TTF plots versus electric field on gate for 10/0.18 μm and 10/0.30 μm pmosfets during stress, E g,stress. This is due to the difference in nominal operating voltage for thin gate oxide (10/0.18 μm) and thick gate oxide (10/0.30 μm). Meaningful comparison on NBTI degradation between this two types of transistors with different oxide thicknesses and processes, can only be done on the basis of equal electric-field across the gate-oxide [27]. The thick gate oxide 10/0.30 μm shows higher I dsat lifetime than V th lifetime, similar to 10/0.18 μm. However, the lifetime for 10/0.30 μm is lower than 10/0.18 μm, due to the faster degradation rate induced by N OT caused by the wet oxidation process for thick gate oxide. Fig. 6 Comparison of V th degradation between thin oxide (3 nm) and thick oxide (5.6 nm) pmosfet, for gate stress bias at 9.5 MV/cm and 10.8 MV/cm. C. DC Lifetime Extrapolation To extract the DC lifetime of NBTI degradation, the Timeto-Fail (TTF) for each stress bias needs to be determined [26]. The TTF is plotted against stress bias and the lifetime was extrapolated to the nominal operating voltage which is -1.98V for the observed pmosfet 10/0.18 μm. Fig.7 and Fig. 8 below presents the NBTI lifetime plots which include exponential regression for the I dsat and V th. The stress temperature for an accelerated condition was set to 125 C. It was observed in Fig. 7 that the 10/0.18 μm transistor has a higher lifetime for both I dsat and V th compared to the other sample transistors. This again Fig. 8 Comparison of Time-to-Fail for thin gate oxide (3 nm) 10/0.18 μm pmosfet to thick gate oxide (5.6 nm) 10/0.30 μm pmosfet with reference to the electric field across the gate oxide during stress, E g,stress. 210

6 IV. CONCLUSION Negative bias temperature instability (NBTI) is an emerging reliability issue in fabrication of semiconductor devices particularly pmosfets. It has been observed that the NBTI degradation is enhanced at higher stress voltage and stress temperatures, demonstrating that the NBTI can be electrically and thermally activated. This work had shown that the power law time dependence with similar n (~1/4) is obtained over a wide range of NBTI stress measured using the quasi-dc measurements. The observed data can be explained with the R- D model of interface states (N IT) generation. Obtained values of n and E a identify the diffusion species as the atomic hydrogen species, H. From the observed data, it was suggested that the generation of interface traps drive the NBTI generation in the samples. It has been observed that the NBTI recovery during measurement delay resulted in lower measured degradation levels which may have been underestimated and a higher power factor, n. Thicker gate oxide pmosfet samples had shown lower NBTI compared to thinner gate oxide pmosfet. NBTI was also observed to be one of the contribution factors to the lifetime of the pmosfet transistors. ACKNOWLEDGMENT The authors acknowledge the tools support from International Islamic University Malaya. The authors also would like to thank to Ministry of Higher Education for the financial support. This research is conducted under the support of Fundamental Research Grant (FRGS: 600-RMI/FRGS 5/3 (31/2015)) and High Impact Research Grant (HIR) of University of Malaya. REFERENCES [1] V. Huard, M. Denais and C. Parthasarathy. (2006), NBTI degradation:from physical mechanism to modelling, Microelectronics Reliability, 46 (2006), [2] Hatta, S.F.W.M., Soin, N., Hadi, D.A., and Zhang, J.F. (2010), NBTI degradation effect on advance-process 45nm High-k PMOSFETs with geometric and process variations, Microelectronics Reliability, 50(9-11), [3] El-Hennawy, A.E., Al-Barakati, G.G., and Al-Harbi, T.S. (2007), Threshold-voltage instability caused by the hot-carrier substrate current in MOSFETs, International Journal of Electronics, 75(1), [4] Grasser, T., and Selberherr, S. (2007), Modeling of Negative Bias Temperature Instability, Journal of Telecommunication and Information Technology, 2, [5] Wang, W., Yang, S., Bhardwaj, S., Vrudhula, S.B.K., Liu, F., and Cao, Y. (2010), The impact of NBTI effect on Combinational Circuit: Modeling, Simulation and Analysis, IEEE Transactions on Very Large Scale Intergration (VLSI) Systems, 18(2), [6] Huard, V., Denais, M., and Parthasarathy, C.R. (2006), NBTI Degradation : From Physical mechanisms to modelling, Microelectronics Reliability, 46(1), [7] Huard, V., Parthasarathy, C.R., Bravaix, A., and Hugel, T. (2007), Design-in-Reliability Approach for NBTI and Hot-Carrier Degradation in Advanced Nodes, IEEE Transactions on Device and Materials Reliability, 7(4), [8] Kufluoglu, H., and Alam, M.A. (2004), A Computational Model of NBTI and Hot Carrier Injection Time- Exponents for MOSFET Reliability, Journal of Computational Electronics, 3, [9] Islam, A.E, Mahapatra, S., Deora, S., Maheta, V. D., Alam, M. A. (2009), On the Differences between Ultra-Fast NBTI Measurements and Reaction Diffusion theory, IEDM [10] Lee, J.H., Wu, W.H., Islam, A.E., Alam, M.A., and Oates, A.S. (2008), Separation Method of Hole Trapping and Interface Trap Generation and Their Roles in NBTI Reaction-Diffusion Model, IEEE International Reliability Physics Symposium, [11] Ang, D. S., Teo, Z. Q., Ho, T. J. J., Ng, C. M., (2011), Reassessing the Mechanisms of Negative Bias Temperature Instability by Repetitive Stress/Relaxation Experiments, IEEE Transaction Device and Materials Reliability [12] Alam, M.A., and Mahapatra, S. (2005), A Comprehensive model of PMOS NBTI degradation, Microelectronics Reliability, 45, [13] Hussin, H., Soin, N., Karim, N. M., Wan Muhamad Hatta, S. F., (2011) On the Effect of NBTI Degradation in p-mosfet devices, In Press Physice B: Condensed Matter [14] S. Chakravarthi, A. Krishnan, V. Reddy, C.F. Machala, S. Krishnan, A comprehensive framework for predictive modeling of negative bias temperature instability, in: 2004 IEEE International Reliability Physics Symposium Proceedings, 2004, pp [15] S. Mahapatra, M.A. Alam, P.B. Kumar, T.R. Dalei, D. Varghese, D. Saha, J. Microelectron. Eng. 80 (2005) Negative Bias Temperature Instability, [16] JEDEC Standard (2004), A Procedure for Measuring P Channel MOSFET Negative Bias Temperature Instabilities, JESD90 JEDEC Solid State Association [17] L. Ming-Fu, et al., "Understand NBTI Mechanism by Developing Novel Measurement Techniques," IEEE Transactions on Device and Materials Reliability, vol. 8, pp , [18] Chen, C.Y., Lee, J.W., Wang, S.D., Shieh, M.S., Lee, P.H., Chen, W.C., Lin, H.Y., Yeh, K.L., and Lei, T.F. (2006), Negative Bias Temperature Instability in Low-Temperature Polycrystalline Silicon Thin-Film Transistors, IEEE Transactions on Electron Devices, 53(12), [19] Chen, Y.F., Lin, M.H., Chou, C.H., Chang, W.C., Huang, S.C., Chang, Y.J., Fu, K.Y., Lee, M.T., Liu, C.H., and Fan, S.K. (2000), Negative Bias Temperature Instability (NBTI) in Deep Sub-micron p+ gate pmosfets, IEEE International Integrated Reliability Workshop Final Report, [20] Ershov, M., Saxena, S., Karbasi, H., Winters, S., Minehane, S., Babcock, J., Lindley, R., Clifton, P., Redford, M., and Shibkov, A. (2003), Dynamic recovery of negative bias temperature instability in p-type metal-oxide-semiconductor field-effect transistors, Applied Physics Letters, 83(8), [21] Schlunder Christian et. al. 2010, A new physics-based NBTI model for DC and AC stress enabling accurate circuit aging simulations considering recovery [22] Eurosoi Report: Thematic Network on Silicon on Insulator Technology, Devices and Circuits, 2006 [23] Cellere, G., Valentini, M.G., and Paccagnella, A. (2004), Effect of channel width, length, and latent damage on NBTI, International Conference on Integrated Circuit Design and Technology, [24] Chung, S.S., Lo, D.K., Yang, J.J., and Lin, T.C. (2002), Localization of NBTI-induced oxide damage in direct tunneling regime gate oxide pmosfet using a novel low gate-leakage gated-diode (L 2 -GD) method, IEEE International Electron Devices Meeting Digests, [25] Kimizuka, N., Yamaguchi, K., Imai, K., Iizuka, T., Liu, C.T., Keller, R.C., and Horiuchi, T. (2000), NBTI enhancement by nitrogen incorporation into ultrathin gate oxide for m gate CMOS generation, Symposium on VLSI Technology Digest of Technical Papers, [26] S. Kalpat et al. (2008), BTI Characteristics and Mechanism of Metal Gated HfO2 Films With Enhanced Interface/Bulk Process Treatments, IEEE Trans. On Device and Materials Reliability, 5 (1) [27] Reisinger, H., Vollertsen, R.-P., Wagner, P.-J., Huttner, T., Martin, A., Aresu, S., Gustin, W., Grasser, T., and Schlunder, C. (2009), A Study of NBTI and Short-Term Threshold Hysteresis of Thin Nitrided and Thick Non-Nitrided Oxides, IEEE Transactions on Device and Materials Reliability, 9(2),

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser Recent Developments in Device Reliability Modeling: The Bias Temperature Instability Tibor Grasser Institute for Microelectronics, TU Vienna Gußhausstraße 27 29, A-14 Wien, Austria TU Wien, Vienna, Austria

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

Impact of different NBTI defect components on sub-threshold operation of high-k p-mosfet

Impact of different NBTI defect components on sub-threshold operation of high-k p-mosfet IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Impact of different NBTI defect components on sub-threshold operation of high-k p-mosfet To cite this article: H Hussin et al

More information

Simulating Negative Bias Temperature Instability of p-mosfets

Simulating Negative Bias Temperature Instability of p-mosfets Simulating Negative Bias Temperature Instability of p-mosfets Introduction The degradation of MOSFET devices having relatively thin oxide layers is generally accepted as being mainly associated with the

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

Long Channel MOS Transistors

Long Channel MOS Transistors Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended to Metal-Oxide-Semiconductor Field-Effect transistors (MOSFET) by considering the following structure:

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

= (1) E inj. Minority carrier ionization. ln (at p ) Majority carrier ionization. ln (J e ) V, Eox. ~ 5eV

= (1) E inj. Minority carrier ionization. ln (at p ) Majority carrier ionization. ln (J e ) V, Eox. ~ 5eV EE650R: Reliability Physics of Nanoelectronic Devices Lecture 21: Application of Anode hole injection Model to Interpret Experiments Date: Nov 8 2006 ClassNotes: Vijay Rawat Reviewer: Haldun Kufluoglu

More information

Modeling of PMOS NBTI Effect Considering Temperature Variation

Modeling of PMOS NBTI Effect Considering Temperature Variation Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, 100084, P.R. China

More information

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects Souvik Mahapatra, Nilesh Goel, Ankush Chaudhary, Kaustubh Joshi and Subhadeep Mukhopadhyay Abstract In this

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors

Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors Turk J Elec Engin, VOL.14, NO.3 2006, c TÜBİTAK Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors Fırat KAÇAR 1,AytenKUNTMAN 1, Hakan KUNTMAN 2 1 Electrical and

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

NEGATIVE bias temperature instability (NBTI) or the

NEGATIVE bias temperature instability (NBTI) or the IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 1, MARCH 2008 47 Effect of the Interfacial SiO 2 Layer in High-k HfO 2 Gate Stacks on NBTI Arnost Neugroschel, Fellow, IEEE, Gennadi Bersuker,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Modeling and PSPICE Simulation of NBTI Effects in VDMOS Transistors

Modeling and PSPICE Simulation of NBTI Effects in VDMOS Transistors SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 12, No. 1, February 2015, 69-79 UDC: 621.382.3:621.375.4 DOI: 10.2298/SJEE1501069M Modeling and PSPICE Simulation of NBTI Effects in VDMOS Transistors Miloš

More information

Lecture #27. The Short Channel Effect (SCE)

Lecture #27. The Short Channel Effect (SCE) Lecture #27 ANNOUNCEMENTS Design Project: Your BJT design should meet the performance specifications to within 10% at both 300K and 360K. ( β dc > 45, f T > 18 GHz, V A > 9 V and V punchthrough > 9 V )

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 52 (2008) 1884 1888 Contents lists available at ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Analysis of STI-induced mechanical stress-related

More information

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets S. Deora 1,3, A. Paul 2, R. Bijesh 1, J. Huang 3, G. Klimeck 2, G. Bersuker 3, P. D. Krisch 3 and R. Jammy 3. 1 Department of Electrical

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

COTS BTS Testing and Improved Reliability Test Methods

COTS BTS Testing and Improved Reliability Test Methods 2015 August 2015 SiC MOS Program Review COTS BTS Testing and Improved Reliability Test Methods Aivars Lelis, Ron Green, Dan Habersat, and Mooro El Outline Lelis (and Green) : COTS BTS results Standard

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

Study of dynamics of charge trapping in a-si:h/sin TFTs

Study of dynamics of charge trapping in a-si:h/sin TFTs Study of dynamics of charge trapping in a-si:h/sin TFTs A.R.Merticaru, A.J.Mouthaan, F.G.Kuper University of Twente P.O.Box 217, 7500 AE Enschede Phone:+31 53 4892754 Fax: +31 53 4891034 E-mail: a.r.merticaru@el.utwente.nl

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

Department of Electronic Engineering, Chienkuo Technology University, No. 1, Chieh Shou N. Rd., Changhua City, 500 Taiwan, R.O.C.

Department of Electronic Engineering, Chienkuo Technology University, No. 1, Chieh Shou N. Rd., Changhua City, 500 Taiwan, R.O.C. Typeset using jjap.cls Compact Hot-Electron Induced Oxide Trapping Charge and Post- Stress Drain Current Modeling for Buried-Channel p-type Metal- Oxide-Semiconductor-Field-Effect-Transistors

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case

A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case Michael Waltl, Wolfgang Goes, Karina Rott, Hans Reisinger, and Tibor Grasser Institute for Microelectronics,

More information

Lecture 18 Field-Effect Transistors 3

Lecture 18 Field-Effect Transistors 3 Lecture 18 Field-Effect Transistors 3 Schroder: Chapters, 4, 6 1/38 Announcements Homework 4/6: Is online now. Due Today. I will return it next Wednesday (30 th May). Homework 5/6: It will be online later

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA MOS Transistors Prof. Krishna Saraswat Department of Electrical Engineering S Stanford, CA 94305 saraswat@stanford.edu 1 1930: Patent on the Field-Effect Transistor! Julius Lilienfeld filed a patent describing

More information

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University NAME: PUID: SECTION: Circle one: Alam Lundstrom ECE 305 Exam 5 SOLUTIONS: April 18, 2016 M A Alam and MS Lundstrom Purdue University This is a closed book exam You may use a calculator and the formula

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS H. L. Gomes 1*, P. Stallinga 1, F. Dinelli 2, M. Murgia 2, F. Biscarini 2, D. M. de Leeuw 3 1 University of Algarve, Faculty of Sciences and Technology

More information

VLSI Design The MOS Transistor

VLSI Design The MOS Transistor VLSI Design The MOS Transistor Frank Sill Torres Universidade Federal de Minas Gerais (UFMG), Brazil VLSI Design: CMOS Technology 1 Outline Introduction MOS Capacitor nmos I-V Characteristics pmos I-V

More information

Chapter 4 Field-Effect Transistors

Chapter 4 Field-Effect Transistors Chapter 4 Field-Effect Transistors Microelectronic Circuit Design Richard C. Jaeger Travis N. Blalock 5/5/11 Chap 4-1 Chapter Goals Describe operation of MOSFETs. Define FET characteristics in operation

More information

10.0 Reaction Diffusion Model: Review

10.0 Reaction Diffusion Model: Review EE65R: Reliability Physics of anoelectronic Devices Lecture 1: egative Bias Temperature Instability: AC Degradation Date: Oct 6 Prepared by: Dhanoop Varghese Reviewed by: Ahmad Ehtesham Islam 1. Reaction

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES By Guoxing Duan Thesis Submitted to the Faculty of the Graduate school of Vanderbilt University in partial

More information

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 4, 2008, 383 395 A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs Andrei SEVCENCO,

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Correspondence should be addressed to N. Soin;

Correspondence should be addressed to N. Soin; e Scientific World Journal, Article ID 49829, 13 pages http://dx.doi.org/1.1155/214/49829 Research Article Effects of Gate Stack Structural and Process Defectivity on High-k Dielectric Dependence of NBTI

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

Physical and Predictive Models of Ultrathin Oxide Reliability in CMOS Devices and Circuits

Physical and Predictive Models of Ultrathin Oxide Reliability in CMOS Devices and Circuits IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 1, MARCH 2001 43 Physical and Predictive Models of Ultrathin Oxide Reliability in CMOS Devices and Circuits James H. Stathis Invited Paper

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

Chapter 2 Degradation Mechanisms

Chapter 2 Degradation Mechanisms Chapter 2 Degradation Mechanisms 2.1 Introduction In this chapter, a general description of the main MOSFET degradation mechanisms considered in this work is given. The proposed dissertation, while not

More information

An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations

An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations Jinbo Wan and Hans G. Kerkhoff Testable Design and Testing of Integrated Systems Group, CTIT, University of Twente

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

Lecture 29 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 20, 2007

Lecture 29 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 20, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 29-1 Lecture 29 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 20, 2007 Contents: 1. Non-ideal and second-order

More information

GENERATION OF INTERFACE STATES DURING THE ELECTRICAL STRESSING OF MOS TRANSISTORS

GENERATION OF INTERFACE STATES DURING THE ELECTRICAL STRESSING OF MOS TRANSISTORS Philips J. Res. 42, 583-592, 1987 R 1172 GENERATION OF INTERFACE STATES DURING THE ELECTRICAL STRESSING OF MOS TRANSISTORS by A. BHATTACHARYYA* and S.N. SHABDE** Philips Research Laboratories Sunnyvale,

More information

Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation

Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation Semicond. Sci. Technol. 11 1996) 1763 1769. Printed in the UK Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation Yuhua Cheng, Min-chie Jeng, Zhihong Liu, Kai Chen, Bin

More information

THE negative bias temperature instability (NBTI) has

THE negative bias temperature instability (NBTI) has 148 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 6, NO. 7, JULY 13 On the Distribution of NBTI Time Constants on a Long, Temperature-Accelerated Time Scale Gregor Pobegen and Tibor Grasser, Senior Member,

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 2 CMOS Transistor Theory Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction MOS Device Design Equation Pass Transistor Jin-Fu Li, EE,

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Characteristics Optimization of Sub-10 nm Double Gate Transistors

Characteristics Optimization of Sub-10 nm Double Gate Transistors Characteristics Optimization of Sub-10 nm Double Gate Transistors YIMING LI 1,,*, JAM-WEM Lee 1, and HONG-MU CHOU 3 1 Departmenet of Nano Device Technology, National Nano Device Laboratories Microelectronics

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Hot-carrier reliability of 20V MOS transistors in 0.13 µm CMOS technology

Hot-carrier reliability of 20V MOS transistors in 0.13 µm CMOS technology Microelectronics Reliability 45 (2005) 1349 1354 www.elsevier.com/locate/microrel Hot-carrier reliability of 20V MOS transistors in 0.13 µm CMOS technology Y. Rey-Tauriac *, J. Badoc *, B. Reynard *, R.A.

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

TEMPERATURE DEPENDENCE OF HCI Review/Background: 16.2 Empirical Observations regarding HCI

TEMPERATURE DEPENDENCE OF HCI Review/Background: 16.2 Empirical Observations regarding HCI 131 16. TEMPERATURE DEPENDENCE OF HCI 16.1 Review/Background: In Chapter 15, we discussed about the voltage dependence of HCI degradation. In this chapter, we will focus on the temperature dependence of

More information

Characterization of NBTI induced Temporal Performance Degradation in Nano-Scale SRAM array using I DDQ

Characterization of NBTI induced Temporal Performance Degradation in Nano-Scale SRAM array using I DDQ Characterization of NBTI induced Temporal Performance Degradation in Nano-Scale SRAM array using I DDQ Kunhyuk Kang, Muhammad Ashraful Alam, and Kaushik Roy Purdue University, West Lafayette, Indiana,

More information

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs Technische Universität Graz Institute of Solid State Physics 11. MOSFETs Dec. 12, 2018 Gradual channel approximation accumulation depletion inversion http://lampx.tugraz.at/~hadley/psd/l10/gradualchannelapprox.php

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 10 MOSFET part 1 guntzel@inf.ufsc.br ual-well Trench-Isolated

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The July 30, 2002 1 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

EE105 - Fall 2005 Microelectronic Devices and Circuits

EE105 - Fall 2005 Microelectronic Devices and Circuits EE105 - Fall 005 Microelectronic Devices and Circuits ecture 7 MOS Transistor Announcements Homework 3, due today Homework 4 due next week ab this week Reading: Chapter 4 1 ecture Material ast lecture

More information

Trench IGBT failure mechanisms evolution with temperature and gate resistance under various short-circuit conditions

Trench IGBT failure mechanisms evolution with temperature and gate resistance under various short-circuit conditions Author manuscript, published in "Microelectronics Reliability vol.47 (7) pp.173-1734" Trench IGBT failure mechanisms evolution with temperature and gate resistance under various short-circuit conditions

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

Choice of V t and Gate Doping Type

Choice of V t and Gate Doping Type Choice of V t and Gate Doping Type To make circuit design easier, it is routine to set V t at a small positive value, e.g., 0.4 V, so that, at V g = 0, the transistor does not have an inversion layer and

More information

The Devices. Devices

The Devices. Devices The The MOS Transistor Gate Oxyde Gate Source n+ Polysilicon Drain n+ Field-Oxyde (SiO 2 ) p-substrate p+ stopper Bulk Contact CROSS-SECTION of NMOS Transistor Cross-Section of CMOS Technology MOS transistors

More information

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Problem 1: Semiconductor Fundamentals [30 points] A uniformly doped silicon sample of length 100µm and cross-sectional area 100µm 2

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

MOS Transistor Theory MOSFET Symbols Current Characteristics of MOSFET. MOS Symbols and Characteristics. nmos Enhancement Transistor

MOS Transistor Theory MOSFET Symbols Current Characteristics of MOSFET. MOS Symbols and Characteristics. nmos Enhancement Transistor MOS Transistor Theory MOSFET Symbols Current Characteristics of MOSFET Calculation of t and Important 2 nd Order Effects SmallSignal Signal MOSFET Model Summary Material from: CMOS LSI Design By Weste

More information

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics 158 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 3, SEPTEMBER 2001 Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

More information

Dynamic On-resistance and Tunneling Based De-trapping in GaN HEMT

Dynamic On-resistance and Tunneling Based De-trapping in GaN HEMT MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com Dynamic On-resistance and Tunneling Based De-trapping in GaN HEMT Zhu, L.; Teo, K.H.; Gao, Q. TR2015-047 June 2015 Abstract GaN HEMT dynamic

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

Quantum and Non-local Transport Models in Crosslight Device Simulators. Copyright 2008 Crosslight Software Inc.

Quantum and Non-local Transport Models in Crosslight Device Simulators. Copyright 2008 Crosslight Software Inc. Quantum and Non-local Transport Models in Crosslight Device Simulators Copyright 2008 Crosslight Software Inc. 1 Introduction Quantization effects Content Self-consistent charge-potential profile. Space

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information