Website: ECE 260B CSE 241A Parasitic Estimation 1

Size: px
Start display at page:

Download "Website: ECE 260B CSE 241A Parasitic Estimation 1"

Transcription

1 ECE260B CSE241A Winter 2007 Parasitic Estimation Website: ECE 260B CSE 241A Parasitic Estimation 1

2 Outline Parasitic Estimation Wirelength Estimation ECE 260B CSE 241A Parasitic Estimation 2

3 Parasitic Estimation: Why do we need it? Example: to produce RC tree network for elmore delay analysis s R 1 C 1 1 R 2 R 3 C C 2 R 4 R i 4 C 4 i C i Example: to produce RC tree network for capacitive cross-talk analysis ECE 260B CSE 241A Parasitic Estimation 3 Slide courtesy L. Daniel

4 Parasitic Estimation (Two Basic Steps) Electromagnetic Analysis thin volume filaments with constant current small surface panels with constant charge million of elements Model Order Reduction tens of elements ECE 260B CSE 241A Parasitic Estimation 4 Slide courtesy L. Daniel

5 Why Layout Parasitic Extraction? Must do this after routing Account for non-ideal nature of interconnect Wire capacitance Wire and via resistance Parasitic information is used in post-layout verification Timing verification of synchronous circuits Functional verification of asynchronous circuits Design performance is ultimately limited by parasitics ECE 260B CSE 241A Parasitic Estimation 5

6 1-D and 2-D Estimation Above 0.5µm feature size, wire cross-section was rectangular Interconnect modeled as parallel plate over ground plane Parallel plate capacitance Fringe capacitance 2-D extraction accurate enough: Area + Fringe C_parallel C_fringe C_fringe ECE 260B CSE 241A Parasitic Estimation 6

7 LEF Coefficients (See Your LEF/DEF Reference) LEF capacitance values are 2-D CPERSQDIST EDGECAPACITANCE Capacitance coefficients are statistical in DSM Effective area and edge capacitance dependent on surrounding routing Congested blocks have higher effective capacitance Need to route design during floorplanning to generate LEF coefficient data Need to modify the LEF coefficients on block by block basis ECE 260B CSE 241A Parasitic Estimation 7

8 Net to Net Capacitances Crosstalk analysis Requires coupling knowledge Net to net capacitances Extraction to floating metal Similar to extraction for xtalk Net to net capacitances Effective capacitance to floating metal depends on potential of floating metal E.g., Cadence HyperExtract models floating metal as grounded If we model floating metal as grounded, this is pessimistic Below 0.18µm, fill metal can impact timing ECE 260B CSE 241A Parasitic Estimation 8

9 Capacitance Extraction Given a collection of N conductors (of any shape and dimension), Q = CV Find the coupling capacitance matrix C fringing parallel C =? v = q ECE 260B CSE 241A Parasitic Estimation 9 Slide courtesy L. Daniel

10 Capacitance Extraction 2-D extraction Wire cap includes parallel plate (area), fringing, and coupling cap C = k 1 Area + k 2 Perimeter + k 3 Coupling_length / Coupling_spacing These coefficients are fit in for an average environment of a wire Table Lookup Intra-layer capacitances are not well modeled 3-D extraction Solve for real 3-D geometries of wiring 2.5-D extraction Compromise between speed and accuracy Models 3-D effects by a combination of two orthogonal 2-D structures E.g., two cross-section views on the x-z and y-z planes, z is the vertical axis going through layers ECE 260B CSE 241A Parasitic Estimation 10

11 How 2.5-D Capacitance Extractor Works Technology pre-characterization generates coefficients through solving the 3-D equations for representative sample of topologies Really, cross-sections through tunnel that contains a section of the victim net Creates look-up table Time consuming, but only done once Each layer of interconnect added to the cross-section roughly doubles time for coefficient generation Pattern compression Reduces the total number of pre-characterization patterns Geometric parameter extraction Reduce the number of geometric parameters considering the shielding effect Extraction matches topologies to entries in look-up table ECE 260B CSE 241A Parasitic Estimation 11

12 Future Flows Involve Manufacturing Simulation Original SPEF Modified SPEF Litho Simulation Incremental RCX Reshape Engine Original GDSII Modified GDSII RCX ECE 260B CSE 241A Parasitic Estimation 12

13 Example Impact of Manufacturing Variation Capacitance Impact Print Image 90nm technology M2 Wires % of Segments 70.00% 60.00% 50.00% 40.00% 30.00% 20.00% 10.00% 0.00% -2~0% 0~2% 2~4% 4~6% 6~8% 8~10% 10~12% Capacitance Variation (%) (a) Resistance Impact % of Segments 25% 20% 15% 10% 5% 0% -5~-7% -9~-11% -13~-15% -17~-19% Resistance Variation (%) (b) ECE 260B CSE 241A Parasitic Estimation 13

14 Interconnect Sidewall Angle Manufacturing non-idealities can occur along the sidewall of a wire due to etch To accurately account for interconnect parasitics these geometric changes needs to be modeled Min-width =( min spacing) Metal thickness ILD thickness 0.20 um 0.35 um 0.35 um Theta (degrees) C NT : Capacitance with non-zero sidewall angle Total Interconnect Capacitance C T : Capacitance with zero sidewall angle C NT /C T Sidewall angles can decrease the total capacitance by more than 10% ECE 260B CSE 241A Parasitic Estimation 14

15 Simple Equivalent-Width Methodology Non-vertical sidewalls imply a capacitance between non-parallel (sidewall) plates w w h Cond_a θ l θ r Cond_b Ideal Real Comparison of ideal and real Interconnect cross-section. Capacitance between non-parallel plates can be calculated according to the following equation: ECE 260B CSE 241A Parasitic Estimation 15 εl(ln( d + h(tan tan h C = dc = 0 d εldx + xtan + xtan θl θl θl θr = + tanθr )) ln( d )) + tanθr d (1)

16 Interconnect Sidewall Angle We use the average of the top and bottom width of the wire as its new equivalent width w eq = Simulation Configuration w top + w 2 bottom L M + L L M M 1 1 C left C c C Right % Error Configurations Total Cap. % Error % Error Configurations Coupling Cap. % Error ECE 260B CSE 241A Parasitic Estimation 16

17 Impact of CMP Fill on Interconnect Capacitance To enhance uniformity of post-cmp wafer topography, dummy fill is inserted In addition to improving feature density uniformity, dummy fill also changes coupling and total capacitance of functional interconnects Different fill/wire geometries have different impact on interconnect capacitance ECE 260B CSE 241A Parasitic Estimation 17

18 Basic Simulation Configurations A B A B A B s x s y l f d ko w f w m y (1) (2) (3) x A l f w f dko ECE 260B CSE 241A Parasitic Estimation 18 y x w m

19 Impact of Floating Fill on Interconnect Capacitance Change in coupling capacitance due to changes in fill width Ctot/l (F/um) E E E E E E E-17 w_m=0.2, h_m=0.4 w_m=0.18, h_m=0.32 w_m=0.12, h_m= E E w f (um) Change in total capacitance due to changes in fill width Cc/l (F/um) E E E E E-18 w_m=0.2, h_m=0.4 w_m=0.18, h_m=0.32 w_m=0.12, h_m= E E ECE 260B CSE 241A Parasitic Estimation 19 w f (um)

20 Impact of Floating Fill on Interconnect Capacitance Change in coupling capacitance due to changes in fill length Ctot/l (F/um) E E E E E E E-17 w_m=0.2, h_m=0.4 w_m=0.18, h_m=0.32 w_m=0.12, h_m= E E l f (um) Change in total capacitance due to changes in fill length E E E-18 Cc/l (F/um) E E E E-18 w_m=0.2, h_m=0.4 w_m=0.18, h_m=0.32 w_m=0.12, h_m= E E ECE 260B CSE 241A Parasitic Estimation 20 l f (um)

21 Impact of Grounded Fill on Interconnect Capacitance Change in total capacitance due to changes in fill width dc (F) E E E E E E E E w f (um) w_m=0.2, h_m=0.4 w_m=0.18, h_m=0.32 w_m=0.12, h_m=0.2 Change in total capacitance due to changes in fill length ECE 260B CSE 241A Parasitic Estimation 21 dc (F) E E E E E E E l f (um) w_m=0.2, h_m=0.4 w_m=0.18, h_m=0.32 w_m=0.12, h_m=0.2

22 Impact of Via Fill on Wire Capacitance What is impact of via fill on total wire capacitance? M+2 Change in capacitance with and without via fill is insignificant M+1 M Metals in M+1, M, and M+1 layers already create shielded wall any additional metals, such as vias, do not have any significant additional impact on capacitance M - 1 M - 2 Without Via Fill Metal Layers Vias With Via Fill Case Capacitance (ff) Without Via Fill With Via Fill Change 2.1% ECE 260B CSE 241A Parasitic Estimation 22

23 Outline Parasitic Estimation Wirelength Estimation ECE 260B CSE 241A Parasitic Estimation 23

24 Wire Load Models (WLMs) Synthesis needs placement parasitics Placement needs synthesized netlist Chicken vs. egg WLMs estimate parastics pre-placement (can be custom per block, per bounding box area, etc. limit is set_load per net) Wire cap = f(net fanout) (but WLM picks just ONE value) Cap #Pins ECE 260B CSE 241A Parasitic Estimation 24

25 Cadence PKS (Placement-Knowledgeable Synthesis, ~1998) Flow RTL Generic Netlist Mapped Netlist Placed Netlist Routed Netlist Area opt Technology mapping Timing opt Placement Post-placement timing opt Global routing ECE 260B CSE 241A Parasitic Estimation 25

26 More Modern Flows: Trial Route Based Accurate parasitic information is required for generating correct netlist for timing closure Synthesis tightly integrated with parasitics resulting from physical design (place & route) WLMs used historically for driving synthesis New synthesis flows/tools do not rely on WLMs Estimate netlist embedding from its topology (latest version of design compiler) Some tools are driven by fast place/route/extraction engines that are built into the tool (e.g., Cadence PKS) Need for WLM-based synthesis offset by availability of netlist optimizations during physical implementation Timing optimizations after placement, clock-tree synthesis and routing ECE 260B CSE 241A Parasitic Estimation 26

27 Design Optimization During P&R RTL Design Clocks & Boundary Constraints Placement Post-Place Opt Logic Synthesis Trial-Route + RC Extraction CTS Verify & Add Exceptions (NO) Gate-Level Netlist Meet Timing Requirements Post-CTS Opt Routing Detailed RC Extraction (YES) Place and Route Meet Timing Requirements (YES) GDSII (NO) Verify & Add Exceptions ECE 260B CSE 241A Parasitic Estimation 27 Source: FishTail DA

28 Wirelength Estimation Basic Formulation: What is expected WL of a net N? Fundamental to pre-routing performance analysis Studied in several contexts floorplanning row-based placement hierarchical top-down layout Should match algorithmic, information context ECE 260B CSE 241A Parasitic Estimation 28

29 Types of Wirelength Estimation A priori (before placement) floorplanning and logic optimization low accuracy 15% faster than placement and routing A posteriori (after placement) placement feasibility analysis high accuracy 2% faster than routing On-line (during placement) e.g., top-down move-based placers intermediate accuracy 2-10% very fast ECE 260B CSE 241A Parasitic Estimation 29

30 On-Line WL Estimation R Given k rectangles each containing cells of a net i i N, what is expected WL of N? n Rectangles R i arise during top-down layout Terminals are localized in R i by floorplanning, partitioning Assumption: terminals are uniformly distributed within any given R i ECE 260B CSE 241A Parasitic Estimation 30

31 Classic Techniques for WL Estimation WL rectilinear Steiner minimal tree (RSMT) cost Beardwood et al. (1958): for n points in region R cost(rsmt) area( R) n Chung/Graham (1979): for n points in bounding box R max cost(rsmt) ( n + 1) HP( R)/ 2 Comments I stated the Beardwood result a couple of lectures ago Ron Graham is the former Chief Scientist of Bell Labs who is now on the UCSD CSE faculty (cf. the Steiner ratio in the Euclidean plane) ECE 260B CSE 241A Parasitic Estimation 31

32 Classic Techniques for WL Estimation WL(net) rectilinear Steiner minimal tree (RSMT) cost WL(net) net bounding box half-perimeter efficient updating (move-based placers) center-to-center approximation Cheng s (1994) scaling correction ECE 260B CSE 241A Parasitic Estimation 32

33 Classic Techniques for WL Estimation WL(net) rectilinear Steiner minimal tree (RSMT) cost WL(net) bounding box half-perimeter A priori WL estimations Rent s rule Wire Length Distribution (WLD) - (Donath (1979),, Davis et al. (1998)) neighborhood population technique - (Sechen (1988), Pedram/Preas (1989), Hamada et al. (1992)) ECE 260B CSE 241A Parasitic Estimation 33

34 Inaccuracy of Center-to-Center Bbox Estimator 1/3 Expected bbox for 2-terminal net is underestimated by center-to-center method ECE 260B CSE 241A Parasitic Estimation 34

35 Expected Bounding Box Given N rectangles Ri each with ni random points, find expected bbox of entire point set Treat horizontal and vertical dimensions separately Find expected minimum and maximum in each dimension Given n segments [ a ] each with one random point, find expected i, b i minimum of all points Exact formula (B = min, A = a... a ) b i 1 n E = A + B A (1 t a b a 1 t a n B i 1 1 t a i j ) dt (1 ) 1 i= 2 b j= 1 j a i ai b a j i dt ECE 260B CSE 241A Parasitic Estimation 35

36 RSMT for n Points in Region For n random points chosen uniformly in rectangular region R Old result (1958) : E[c(RSMT)] area( R) n New result (1998) For sufficiently large aspect ratio w(r)/h(r): E[c(RSMT)] HP(bbox) Old result true for n > N, where N=N(AR) depends on aspect ratio ECE 260B CSE 241A Parasitic Estimation 36

37 RSMT Growth Rate c( RSMT) n area.758 AR = 16 AR = 8 AR = 4 Aspect ratio AR = number of points Growth rates of c(rsmt) in rectangles with different aspect ratios ECE 260B CSE 241A Parasitic Estimation 37

38 Knowledge of BBox Helps Maximum relative deviation (%) from E[c(RSMT)] in 90% of all cases n points within square region n points with given square bounding box # points dev(region) dev(bbox) Conclusion: On average, knowledge of bbox halves maximum relative deviation doubles confidence in expected WL ECE 260B CSE 241A Parasitic Estimation 38

39 AR-Dependent Scaling random n-point samples with prescribed AR(bbox) NUMBER OF POINTS TABLE * AR TABLE entries: average values of cost(rsmt)/hp(bbox) E[cost(RSMT)] = TABLE(AR(bbox), n) HP(bbox) ECE 260B CSE 241A Parasitic Estimation 39

40 Reading Assignment, February 9 Read the clocking and clock tree construction references on the class webpage. Read the paper by Caldwell et al., On Wirelength Estimations for Row-Based Placement, paper #J41 at (you can also find the final version on IEEE Explore) ECE 260B CSE 241A Parasitic Estimation 40

41 Homework, February 9 Attention: Question 1 due February 16 Question 2 due February 14 Optimism vs. Pessimism. There is an old trick in synthesis: overconstrain the design timing if you want to make a given frequency goal. But, just as with floorplan area, there is a sweet spot for the target frequency that results in maximum actual frequency of the result. Homework Question #1: Use Cadence PKS to plot a frequency-vs.-area tradeoff curve of netlist implementations using our 90nm library. Homework Question #2: If k numbers are randomly chosen within the interval [0,1] with uniform distribution, what is the expected value of the minimum number? (Explain.) ECE 260B CSE 241A Parasitic Estimation 41

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Parasitic Extraction 1

Website:  vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Parasitic Extraction 1 ECE260B CSE241A Winter 2005 Parasitic Extraction Website: / courses/ ece260bw05 ECE 260B CSE 241A Parasitic Extraction 1 Conventional Design Flow Funct. Spec RTL Behav. Simul. Logic Synth. Stat. Wire Model

More information

Pre-Layout Estimation of Individual Wire Lengths

Pre-Layout Estimation of Individual Wire Lengths University of Toronto Pre-Layout Estimation of Individual Wire Lengths Srinivas Bodapati (Univ. of Illinois) Farid N. Najm (Univ. of Toronto) f.najm@toronto.edu Introduction Interconnect represents an

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

ECE260B CSE241A Winter Interconnects. Website:

ECE260B CSE241A Winter Interconnects. Website: ECE260B CSE241A Winter 2004 Interconnects Website: http://vlsicad.ucsd.edu/courses/ece260b-w04 ECE 260B CSE 241A Interconnects 1 Outline Interconnects Resistance Capacitance and Inductance Delay ECE 260B

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Performance-Impact Limited Area Fill Synthesis

Performance-Impact Limited Area Fill Synthesis Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, and Andrew B. Kahng Computer Science Dept., UCLA, Los Angeles, CA 90095-1596 Electrical and Computer Engineering Dept., UCSD, La Jolla,

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion

Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion Youngmin Kim a, Dusan Petranovic b, Dennis Sylvester a a EECS, University of Michigan b Mentor Graphics 1 Outline Introduction

More information

Lecture 9: Interconnect

Lecture 9: Interconnect Digital Integrated Circuits (83-313) Lecture 9: Interconnect Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 23 May 2017 Disclaimer: This course was prepared, in its entirety,

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout 0/6/06 Homework # Lecture 8, 9: Sizing and Layout of omplex MOS Gates Reading: hapter 4, sections 4.3-4.5 October 3 & 5, 06 hapter, section.5.5 Prof. R. Iris ahar Weste & Harris vailable on course webpage

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 6, JUNE 2005 849 Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

More information

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 Digital Integrated Circuits (83-313) Lecture 5: Interconnect Semester B, 2015-16 Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 What will we learn today? 1 A First Glance at Interconnect 2 3

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Accurate Estimation of Global Buffer Delay within a Floorplan

Accurate Estimation of Global Buffer Delay within a Floorplan Accurate Estimation of Global Buffer Delay within a Floorplan Charles J. Alpert 1, Jiang Hu 2, Sachin S. Sapatnekar 3, and C. N. Sze 2 1 IBM Corp., 11501 Burnet Road, Austin, TX 78758, alpert@us.ibm.com

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Perfect-Balance Planar Clock. Routing with Minimal Path Length UCSC-CRL March 26, University of California, Santa Cruz

Perfect-Balance Planar Clock. Routing with Minimal Path Length UCSC-CRL March 26, University of California, Santa Cruz Perfect-Balance Planar Clock Routing with Minimal Path Length Qing Zhu Wayne W.M. Dai UCSC-CRL-93-17 supercedes UCSC-CRL-92-12 March 26, 1993 Board of Studies in Computer Engineering University of California,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Steiner Trees in Chip Design. Jens Vygen. Hangzhou, March 2009

Steiner Trees in Chip Design. Jens Vygen. Hangzhou, March 2009 Steiner Trees in Chip Design Jens Vygen Hangzhou, March 2009 Introduction I A digital chip contains millions of gates. I Each gate produces a signal (0 or 1) once every cycle. I The output signal of a

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Lecture #39. Transistor Scaling

Lecture #39. Transistor Scaling Lecture #39 ANNOUNCEMENT Pick up graded HW assignments and exams (78 Cory) Lecture #40 will be the last formal lecture. Class on Friday will be dedicated to a course review (with sample problems). Discussion

More information

ECE260B CSE241A Winter Interconnects. Website:

ECE260B CSE241A Winter Interconnects. Website: ECE260B CSE241A Winter 2007 Interconnects Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Interconnects 1 Outline Interconnect Scaling and Power Resistance Capacitance and Inductance

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

Repor4ng Quality of Results

Repor4ng Quality of Results Analyzing Timing A,er you set the 4ming constraints such as clocks, input delays, and output delays, it is a good idea to use the check_4ming command to check for 4ming setup problems and 4ming condi4ons

More information

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Taigon Song 1, Shreepad Panth 2, Yoo-Jin Chae 3, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Texas Instruments Inc., Dallas TX University of Texas at Dallas, Richardson, TX Abstract. 2. Accurate Interconnect Modeling

Texas Instruments Inc., Dallas TX University of Texas at Dallas, Richardson, TX Abstract. 2. Accurate Interconnect Modeling Benchmarks for Interconnect Parasitic Resistance and Capacitance (Invited) Nagaraj NS 1, Tom Bonifield 1, Abha Singh 1, Frank Cano 1, Usha Narasimha 1, Mak Kulkarni 1, Poras Balsara 2, Cyrus Cantrell 2

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Capacitance - 1 The parallel plate capacitor Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Charge separation in a parallel-plate capacitor causes an internal

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 3, 2016 Combination Logic: Ratioed & Pass Logic, and Performance Lecture Outline! CMOS NOR2 Worst Case Analysis! Pass Transistor

More information

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, John Lillis 2, Chung-Kuan Cheng Department of Computer Science and Engineering University of

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Power Distribution Network Design for High-Speed Printed Circuit Boards

Power Distribution Network Design for High-Speed Printed Circuit Boards Power Distribution Network Design for High-Speed Printed Circuit Boards Jun Fan NCR Corporation 1 Outline Overview of PDN design in multi-layer PCBs Interconnect Inductance Individual Capacitor Values

More information

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution - Fall 2002 Lecture 25 Interconnect Effects I/O, Power Distribution Announcements Homework 9 due next Tuesday Hardware lab this week Project phase 2 due in two weeks 1 Today s Lecture Impact of interconnects»

More information

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 12 EE141

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 12 EE141 EECS 151/251A Spring 2018 Digital Design and Integrated Circuits Instructors: Nick Weaver & John Wawrzynek Lecture 12 1 Wire Models All-inclusive model Capacitance-only 2 Capacitance Capacitance: The Parallel

More information

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration Jiun-Li Lin, Po-Hsun Wu, and Tsung-Yi Ho Department of Computer Science and Information Engineering,

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Methodology From Chaos in IC Implementation

Methodology From Chaos in IC Implementation Methodology From Chaos in IC Implementation Kwangok Jeong 1 and Andrew B. Kahng 1,2 1 ECE and 2 CSE Departments, University of California at San Diego, La Jolla, CA, USA kjeong@vlsicad.ucsd.edu, abk@cs.ucsd.edu

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

Lecture 6: Logical Effort

Lecture 6: Logical Effort Lecture 6: Logical Effort Outline Logical Effort Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary Introduction Chip designers face a bewildering array

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

! Dynamic Characteristics. " Delay

! Dynamic Characteristics.  Delay EE 57: Digital Integrated ircuits and LI Fundamentals Lecture Outline! Dynamic haracteristics " Delay Lec : February, 8 MO Inverter and Interconnect Delay 3 Review: Propogation Delay Definitions Dynamic

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

DELAYS IN ASIC DESIGN

DELAYS IN ASIC DESIGN DELAYS IN ASIC DESIGN We encounter several types of delays in ASIC design. They are as follows: Gate delay or Intrinsic delay Net delay or Interconnect delay or Wire delay or Extrinsic delay or Flight

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires EE141-Spring 2008 Digital Integrated Circuits Lecture 24: Wires 1 Announcements Hw 8 posted last graded homework Project phase II feedback to be expected anytime 2 Material Last Lecture: Wire capacitance

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

Design of Control Modules for Use in a Globally Asynchronous, Locally Synchronous Design Methodology

Design of Control Modules for Use in a Globally Asynchronous, Locally Synchronous Design Methodology Design of Control Modules for Use in a Globally Asynchronous, Locally Synchronous Design Methodology Pradnya Deokar Department of Electrical and Computer Engineering, VLSI Design Research Laboratory, Southern

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects Lecture 5 MOS Inverter: Switching Characteristics and Interconnection Effects Introduction C load = (C gd,n + C gd,p + C db,n + C db,p ) + (C int + C g ) Lumped linear capacitance intrinsic cap. extrinsic

More information

EE141-Fall Digital Integrated Circuits. Announcements. Lab #2 Mon., Lab #3 Fri. Homework #3 due Thursday. Homework #4 due next Thursday

EE141-Fall Digital Integrated Circuits. Announcements. Lab #2 Mon., Lab #3 Fri. Homework #3 due Thursday. Homework #4 due next Thursday EE4-Fall 2000 Digital Integrated ircuits Lecture 6 Inverter Delay Optimization Announcements Lab #2 Mon., Lab #3 Fri. Homework #3 due Thursday Homework #4 due next Thursday 2 2 lass Material Last lecture

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

EECS 141: FALL 05 MIDTERM 1

EECS 141: FALL 05 MIDTERM 1 University of California College of Engineering Department of Electrical Engineering and Computer Sciences D. Markovic TuTh 11-1:3 Thursday, October 6, 6:3-8:pm EECS 141: FALL 5 MIDTERM 1 NAME Last SOLUTION

More information

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006 Managing Physical Design Issues in ASI Toolflows 6.375 omplex Digital Systems hristopher Batten February 1, 006 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock

More information

ECE 497 JS Lecture - 18 Noise in Digital Circuits

ECE 497 JS Lecture - 18 Noise in Digital Circuits ECE 497 JS Lecture - 18 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 15 th Speaker:

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 9, SEPTEMBER /$26.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 9, SEPTEMBER /$26. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 9, SEPTEMBER 2009 1373 Performance and Thermal-Aware Steiner Routing for 3-D Stacked ICs Mohit Pathak, Student

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Utilizing Redundancy for Timing Critical Interconnect

Utilizing Redundancy for Timing Critical Interconnect 1 Utilizing Redundancy for Timing Critical Interconnect Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li Abstract Conventionally, the topology of signal net routing is almost always restricted to Steiner trees,

More information

Lecture 8: Logic Effort and Combinational Circuit Design

Lecture 8: Logic Effort and Combinational Circuit Design Lecture 8: Logic Effort and Combinational Circuit Design Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q Logical Effort q Delay in a Logic Gate

More information

Lecture 8: Combinational Circuit Design

Lecture 8: Combinational Circuit Design Lecture 8: Combinational Circuit Design Mark McDermott Electrical and Computer Engineering The University of Texas at ustin 9/5/8 Verilog to Gates module mux(input s, d0, d, output y); assign y = s? d

More information

Backend Low-k TDDB Chip Reliability Simulator

Backend Low-k TDDB Chip Reliability Simulator Backend Low-k TDDB Chip Reliability Simulator Muhammad Bashir, Dae Hyun Kim, Krit Athikulwongse, Sung Kyu Lim and Linda Milor School of Electrical and Computer Engineering Georgia Institute of Technology

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

Optimal Folding Of Bit Sliced Stacks+

Optimal Folding Of Bit Sliced Stacks+ Optimal Folding Of Bit Sliced Stacks+ Doowon Paik University of Minnesota Sartaj Sahni University of Florida Abstract We develop fast polynomial time algorithms to optimally fold stacked bit sliced architectures

More information

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković EE M26A.:. Fall 200 Lecture 5 Logical Effort Prof. Dejan Marković ee26a@gmail.com Logical Effort Recap Normalized delay d = g h + p g is the logical effort of the gate g = C IN /C INV Inverter is sized

More information

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30 ANSOFT Q3D TRANING Introduction HFSS 3D EM Analysis S-parameter Q3D R/L/C/G Extraction Model 0-5 -10 magnitude [db] -15-20 -25-30 S11 S21-35 0 1 2 3 4 5 6 7 8 9 10 Frequency [GHz] Quasi-static or full-wave

More information