6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

Size: px
Start display at page:

Download "6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines"

Transcription

1 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide

2 I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet (i always blocks): a b a b c x y Blockig: Evaluatio ad assigmet are immediate No-Blockig: Assigmet is postpoed util all r.h.s. evaluatios are doe Whe to use: ( oly i always blocks! ) a = b b = a a <= b b <= a Sequetial Circuits x = a & b y = x c x <= a & b y <= x c Combiatorial Circuits 6. Fall 25 Lecture 6, Slide 2

3 Assigmet Styles for Sequetial Flip-Flop Based igital elay Lie clk i q q2 out Will oblockig ad blockig assigmets both produce the desired result? module oblockig(i, clk, out); iput i, clk; output out; reg q, q2, out; (posedge clk) begi q <= i; q2 <= q; out <= q2; ed edmodule module blockig(i, clk, out); iput i, clk; output out; reg q, q2, out; (posedge clk) begi q = i; q2 = q; out = q2; ed edmodule 6. Fall 25 Lecture 6, Slide 3

4 Use Noblockig for Sequetial (posedge clk) begi q <= i; q2 <= q; out <= q2; ed At each risig clock edge, q, q2, ad out simultaeously receive the old values of i, q, ad q2. (posedge clk) begi q = i; q2 = q; out = q2; ed At each risig clock edge, q = i. After that, q2 = q = i; After that, out = q2 = q = i; Fially out = i. i q q2 out i q q2 out clk Blockig assigmets do ot reflect the itrisic behavior of multi-stage sequetial logic Guidelie: use oblockig assigmets for sequetial always blocks clk 6. Fall 25 Lecture 6, Slide 4

5 Use Blockig for Combiatioal Blockig Behavior a b c x y (Give) Iitial Coditio a chages; always block triggered x = a & b; y = x c; (a or b or c) begi x = a & b; y = x c; ed a b c x y Noblockig Behavior a b c x y eferred (Give) Iitial Coditio a chages; always block triggered x<= x <= a & b; y <= x c; Assigmet completio x<=, y<= (a or b or c) begi x <= a & b; y <= x c; ed Noblockig assigmets do ot reflect the itrisic behavior of multi-stage combiatioal logic While oblockig assigmets ca be hacked to simulate correctly (expad the sesitivity list), it s ot elegat Guidelie: use blockig assigmets for combiatioal always blocks 6. Fall 25 Lecture 6, Slide 5

6 butto light Implemetatio for o/off butto module ooff(butto,light); iput butto; output light; reg light; (posedge butto) begi light <= ~light; ed edmodule BUTTON LIGHT 6. Fall 25 Lecture 6, Slide 6

7 II. Sigle-clock Sychroous Circuits We ll use Flip Flops ad Registers groups of FFs sharig a clock iput i a highly costraied way to build digital systems. Sigle-clock Sychroous isciplie: No combiatioal cycles Sigle clock sigal shared amog all clocked devices Oly care about value of combiatioal circuits just before risig edge of clock Period greater tha every combiatioal delay Chage saved state after oise-iducig logic trasitios have stopped! 6. Fall 25 Lecture 6, Slide 7

8 Clocked circuit for o/off butto module ooff(clk,butto,light); iput clk,butto; output light; reg light; (posedge clk) begi if (butto) light <= ~light; ed edmodule oes this work with a Mhz? BUTTON LE LIGHT SINGLE GLOBAL CLOCK LOA-ENABLE REGISTER 6. Fall 25 Lecture 6, Slide 8

9 Asychroous Iputs i Sequetial Systems What about exteral sigals? Clock Sequetial System Ca t guaratee setup ad hold times will be met! Whe a asychroous sigal causes a setup/hold violatio... Clock I II III? Trasitio is missed o first clock cycle, but caught o ext clock cycle. Trasitio is caught o first clock cycle. Output is metastable for a idetermiate amout of time. : Which cases are problematic? 6. Fall 25 Lecture 6, Slide 9

10 Asychroous Iputs i Sequetial Systems All of them ca be, if more tha oe happes simultaeously withi the same circuit. Idea: esure that exteral sigals directly feed exactly oe flip-flop Sequetial System Asyc Iput Clocked Sychroous System Clock Clock Clock This prevets the possibility of I ad II occurrig i differet places i the circuit, but what about metastability? 6. Fall 25 Lecture 6, Slide

11 Hadlig Metastability Prevetig metastability turs out to be a impossible problem High gai of digital devices makes it likely that metastable coditios will resolve themselves quickly Solutio to metastability: allow time for sigals to stabilize Likely to be metastable right after samplig Very ulikely to be metastable for > clock cycle Extremely ulikely to be metastable for >2 clock cycle Complicated Sequetial System Clock How may registers are ecessary? epeds o may desig parameters(clock speed, device speeds, ) I 6., a pair of sychroizatio registers is sufficiet 6. Fall 25 Lecture 6, Slide

12 III. Fiite State Machies Fiite State Machies (FSMs) are a useful abstractio for sequetial circuits with cetralized states of operatio At each clock edge, combiatioal logic computes outputs ad ext state as a fuctio of iputs ad preset state iputs + preset state Combiatioal outputs + ext state Flip- Flops 6. Fall 25 Lecture 6, Slide 2

13 State trasitio diagram Example : Light Switch BUTTON= BUTTON= LIGHT = LIGHT = BUTTON= BUTTON= diagram Combiatorial logic BUTTON LIGHT Register 6. Fall 25 Lecture 6, Slide 3

14 Two Types of FSMs Moore ad Mealy FSMs : differet output geeratio Moore FSM: iputs x...x Comb. ext state S + Flip- Flops Comb. outputs y k = f k (S) preset state S Mealy FSM: iputs x...x Comb. direct combiatioal path! S + Flip- Flops Comb. outputs y k = f k (S, x...x ) S 6. Fall 25 Lecture 6, Slide 7

15 esig Example: Level-to-Pulse A level-to-pulse coverter produces a sigle-cycle pulse each time its iput goes high. It s a sychroous risig-edge detector. Sample uses: Buttos ad switches pressed by humas for arbitrary periods of time Sigle-cycle eable sigals for couters Wheever iput L goes from low to high... L Level to Pulse Coverter P...output P produces a sigle pulse, oe clock period wide. 6. Fall 25 Lecture 6, Slide 8

16 Step : State Trasitio iagram Block diagram of desired system: Sychroizer Edge etector usychroized user iput L Level to Pulse FSM P State trasitio diagram is a useful FSM represetatio ad desig aid: if L= at the clock edge, the jump to state. L= L= Biary values of states L= if L= at the clock edge, the stay i state. Low iput, Waitig for rise P = L= Edge etected! P = L= High iput, Waitig for fall P = L= This is the output that results from this state. (Moore or Mealy?) 6. Fall 25 Lecture 6, Slide 9

17 Step 2: erivatio Trasitio diagram is readily coverted to a state trasitio table (just a truth table) L= Low iput, Waitig for rise P = L= L= L= Edge etected! P = L= High iput, Waitig for fall P = L= Curre t State I Next State Combiatioal logic may be derived usig Karaugh maps S S L S + S + Out P S S L S S L for S + : X X for S + : X X L Comb. S + = LS S + = L S + S Flip- Flops Comb. P = S S P S S for P: X 6. Fall 25 Lecture 6, Slide 2

18 Moore Level-to-Pulse Coverter iputs x...x Comb. ext state S + Flip- Flops Comb. outputs y k = f k (S) S + = LS S + = L preset state S P = S S Moore FSM circuit implemetatio of level-to-pulse coverter: L S + S P S + S 6. Fall 25 Lecture 6, Slide 23

19 esig of a Mealy Level-to-Pulse Comb. direct combiatioal path! S + S Flip- Flops Comb. Sice outputs are determied by state ad iputs, Mealy FSMs may eed fewer states tha Moore FSM implemetatios. Whe L= ad S=, this output is asserted immediately ad util the state trasitio occurs (or L chages). L= P= Iput is low L= P= L= P= Iput is high L= P= L P Clock State Output trasitios immediately. State trasitios at the clock edge After the trasitio to S= ad as log as L remais at, this output is asserted. 6. Fall 25 Lecture 6, Slide 24

20 Mealy Level-to-Pulse Coverter L= P= Iput is low L= P= L= P= Iput is high L= P= Pres. State S I L Next State S + Out P Mealy FSM circuit implemetatio of level-to-pulse coverter: P L S + S S FSM s state simply remembers the previous value of L Circuit beefits from the Mealy FSM s implicit siglecycle assertio of outputs durig state trasitios 6. Fall 25 Lecture 6, Slide 26

21 Moore/Mealy Trade-Offs How are they differet? Moore: outputs = f( state ) oly Mealy outputs = f( state ad iput ) Mealy outputs geerally occur oe cycle earlier tha a Moore: Moore: delayed assertio of P L P Clock State[] Mealy: immediate assertio of P L P Clock State Compared to a Moore FSM, a Mealy FSM might... Be more difficult to coceptualize ad desig Have fewer states 6. Fall 25 Lecture 6, Slide 27

22 FSM Timig Requiremets Timig requiremets for FSM are idetical to ay geeric sequetial system with feedback Miimum Clock Period Miimum elay iputs + preset state Combiatioal T logic outputs + ext state iputs + preset state Combiatioal T cd,logic outputs + ext state T cq T cd,reg Flip- Flops T su Flip- Flops T hold T T > T cq + T logic + T su T cd,reg + T cd,logic > T hold 6. Fall 25 Lecture 6, Slide 28

23 Summary Assigmets i always blocks: blockig ( = ) for combiatioal logic o-blockig ( <= ) for sequetial logic Sigle-clock Sychroous disciplie: Reliable digital circuits / systems Global clock to edge-triggered registers Fiite state machies: Programmable systems Moore & Mealy Combiatioal Flip- Flops 6. Fall 25 Lecture 6, Slide 29

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018 Desig of Digital Circuits Lecture 7: Seuetial Logic Desig Prof. Our Mutlu ETH Zurich Sprig 2018 15 March 2018 Readigs Please study Slides 102-120 from Lecture 6 o your ow This week Seuetial Logic P&P Chapter

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

Annotations to the assignments and the solution sheet. Note the following points

Annotations to the assignments and the solution sheet. Note the following points WS 26/7 Trial Exam: Fudametals of Computer Egieerig Seite: Aotatios to the assigmets ad the solutio sheet This is a multiple choice examiatio, that meas: Solutio approaches are ot assessed. For each sub-task

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

Design of Digital Circuits Lecture 8: Timing and Verification. Minesh Patel Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 8: Timing and Verification. Minesh Patel Prof. Onur Mutlu ETH Zurich Spring March 2018 Desig of Digital Circuits Lecture 8: Timig ad Verificatio Miesh Patel Prof. Our Mutlu ETH Zurich Sprig 2018 16 March 2018 Readigs Please study Slides 102-120 from Lecture 6 o your ow This week Seuetial

More information

DESCRIPTION OF THE SYSTEM

DESCRIPTION OF THE SYSTEM Sychroous-Serial Iterface for absolute Ecoders SSI 1060 BE 10 / 01 DESCRIPTION OF THE SYSTEM TWK-ELEKTRONIK GmbH D-001 Düsseldorf PB 1006 Heirichstr. Tel +9/11/6067 Fax +9/11/6770 e-mail: ifo@twk.de Page

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol

More information

Lecture 9: Hierarchy Theorems

Lecture 9: Hierarchy Theorems IAS/PCMI Summer Sessio 2000 Clay Mathematics Udergraduate Program Basic Course o Computatioal Complexity Lecture 9: Hierarchy Theorems David Mix Barrigto ad Alexis Maciel July 27, 2000 Most of this lecture

More information

EE 505. Lecture 28. ADC Design SAR

EE 505. Lecture 28. ADC Design SAR EE 505 Lecture 28 ADC Desig SAR Review from Last Lecture Elimiatio of Iput S/H C LK X IN S/H Stage 1 r 1 Stage 2 r 2 Stage k r k Stage m r m 1 2 k m Pipelied Assembler (Shift Register

More information

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process Parity Checker Example A string of bits has even parity if the number of 1 s in the string is even. Design a circuit that accepts a bit-serial stream of bits and outputs a 0 if the parity thus far is even

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

Section 5.1 The Basics of Counting

Section 5.1 The Basics of Counting 1 Sectio 5.1 The Basics of Coutig Combiatorics, the study of arragemets of objects, is a importat part of discrete mathematics. I this chapter, we will lear basic techiques of coutig which has a lot of

More information

Lecture 9: Sequential Logic Circuits. Reading: CH 7

Lecture 9: Sequential Logic Circuits. Reading: CH 7 Lecture 9: Sequential Logic Circuits Reading: CH 7 Sequential Logic FSM (Finite-state machine) Inputs Current State COMBINATIONAL LOGIC Registers Outputs = f(current, inputs) Next state 2 storage mechanisms

More information

EE 505. Lecture 29. ADC Design. Oversampled

EE 505. Lecture 29. ADC Design. Oversampled EE 505 Lecture 29 ADC Desig Oversampled Review from Last Lecture SAR ADC V IN Sample Hold C LK V REF DAC DAC Cotroller DAC Cotroller stores estimates of iput i Successive Approximatio Register (SAR) At

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

5. Sequential Logic x Computation Structures Part 1 Digital Circuits. Copyright 2015 MIT EECS

5. Sequential Logic x Computation Structures Part 1 Digital Circuits. Copyright 2015 MIT EECS 5. Sequential Logic 6.004x Computation Structures Part 1 igital Circuits Copyright 2015 MIT EECS 6.004 Computation Structures L5: Sequential Logic, Slide #1 Something We Can t Build (Yet) What if you were

More information

Signals & Systems Chapter3

Signals & Systems Chapter3 Sigals & Systems Chapter3 1.2 Discrete-Time (D-T) Sigals Electroic systems do most of the processig of a sigal usig a computer. A computer ca t directly process a C-T sigal but istead eeds a stream of

More information

Introduction to Signals and Systems, Part V: Lecture Summary

Introduction to Signals and Systems, Part V: Lecture Summary EEL33: Discrete-Time Sigals ad Systems Itroductio to Sigals ad Systems, Part V: Lecture Summary Itroductio to Sigals ad Systems, Part V: Lecture Summary So far we have oly looked at examples of o-recursive

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations ECE-S352 Itroductio to Digital Sigal Processig Lecture 3A Direct Solutio of Differece Equatios Discrete Time Systems Described by Differece Equatios Uit impulse (sample) respose h() of a DT system allows

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

FIR Filters. Lecture #7 Chapter 5. BME 310 Biomedical Computing - J.Schesser

FIR Filters. Lecture #7 Chapter 5. BME 310 Biomedical Computing - J.Schesser FIR Filters Lecture #7 Chapter 5 8 What Is this Course All About? To Gai a Appreciatio of the Various Types of Sigals ad Systems To Aalyze The Various Types of Systems To Lear the Skills ad Tools eeded

More information

OPTIMAL ALGORITHMS -- SUPPLEMENTAL NOTES

OPTIMAL ALGORITHMS -- SUPPLEMENTAL NOTES OPTIMAL ALGORITHMS -- SUPPLEMENTAL NOTES Peter M. Maurer Why Hashig is θ(). As i biary search, hashig assumes that keys are stored i a array which is idexed by a iteger. However, hashig attempts to bypass

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline. Review: esigning with FSM EECS 150 - Components and esign Techniques for igital Systems Lec 09 Counters 9-28-0 avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements:., Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University

More information

Design and Analysis of ALGORITHM (Topic 2)

Design and Analysis of ALGORITHM (Topic 2) DR. Gatot F. Hertoo, MSc. Desig ad Aalysis of ALGORITHM (Topic 2) Algorithms + Data Structures = Programs Lessos Leared 1 Our Machie Model: Assumptios Geeric Radom Access Machie (RAM) Executes operatios

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

(ii) Two-permutations of {a, b, c}. Answer. (B) P (3, 3) = 3! (C) 3! = 6, and there are 6 items in (A). ... Answer.

(ii) Two-permutations of {a, b, c}. Answer. (B) P (3, 3) = 3! (C) 3! = 6, and there are 6 items in (A). ... Answer. SOLUTIONS Homewor 5 Due /6/19 Exercise. (a Cosider the set {a, b, c}. For each of the followig, (A list the objects described, (B give a formula that tells you how may you should have listed, ad (C verify

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007 rithmetic Circuits (Part I) Rady H. Katz Uiversity of Califoria, erkeley prig 27 Lecture #23: rithmetic Circuits- Motivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio

More information

A Simple Optimum-Time FSSP Algorithm for Multi-Dimensional Cellular Automata

A Simple Optimum-Time FSSP Algorithm for Multi-Dimensional Cellular Automata A Simple Optimum-Time FSSP Algorithm for Multi-Dimesioal Cellular Automata HIROSHI UMEO Uiversity of Osaka Electro-Commuicatio umeo@cyt.osakac.ac.jp KINUO NISHIDE Uiversity of Osaka Electro-Commuicatio

More information

EECE 301 Signals & Systems

EECE 301 Signals & Systems EECE 301 Sigals & Systems Prof. Mark Fowler Note Set #8 D-T Covolutio: The Tool for Fidig the Zero-State Respose Readig Assigmet: Sectio 2.1-2.2 of Kame ad Heck 1/14 Course Flow Diagram The arrows here

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Computing the output response of LTI Systems.

Computing the output response of LTI Systems. Computig the output respose of LTI Systems. By breaig or decomposig ad represetig the iput sigal to the LTI system ito terms of a liear combiatio of a set of basic sigals. Usig the superpositio property

More information

FSM model for sequential circuits

FSM model for sequential circuits 1 FSM model for sequential circuits The mathematical model of a sequential circuit is called finite-state machine. FSM is fully characterized by: S Finite set of states ( state ~ contents of FFs) I Finite

More information

Design of Datapath Controllers

Design of Datapath Controllers Design of Datapath Controllers Speaker: 俞子豪 Adviser: Prof. An-Yeu Wu ACCESS IC LAB Outline vsequential Circuit Model vfinite State Machines vuseful Modeling Techniques P. 2 Model of Sequential Circuits

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1 Synchronous Sequential Circuit Design Dr. Ehab A. H. AL-Hialy Page Motivation Analysis of a few simple circuits Generalizes to Synchronous Sequential Circuits (SSC) Outputs are Function of State (and Inputs)

More information

SNAP Centre Workshop. Basic Algebraic Manipulation

SNAP Centre Workshop. Basic Algebraic Manipulation SNAP Cetre Workshop Basic Algebraic Maipulatio 8 Simplifyig Algebraic Expressios Whe a expressio is writte i the most compact maer possible, it is cosidered to be simplified. Not Simplified: x(x + 4x)

More information

NUMERICAL METHODS FOR SOLVING EQUATIONS

NUMERICAL METHODS FOR SOLVING EQUATIONS Mathematics Revisio Guides Numerical Methods for Solvig Equatios Page 1 of 11 M.K. HOME TUITION Mathematics Revisio Guides Level: GCSE Higher Tier NUMERICAL METHODS FOR SOLVING EQUATIONS Versio:. Date:

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units rithmetic rcuits (art I) Rady H. Katz Uiversity of Califoria, erkeley otivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs Doig thigs fast requires more logic

More information

Axioms of Measure Theory

Axioms of Measure Theory MATH 532 Axioms of Measure Theory Dr. Neal, WKU I. The Space Throughout the course, we shall let X deote a geeric o-empty set. I geeral, we shall ot assume that ay algebraic structure exists o X so that

More information

Quantum Information & Quantum Computation

Quantum Information & Quantum Computation CS9A, Sprig 5: Quatum Iformatio & Quatum Computatio Wim va Dam Egieerig, Room 59 vadam@cs http://www.cs.ucsb.edu/~vadam/teachig/cs9/ Admiistrivia Do the exercises. Aswers will be posted at the ed of the

More information

6.003 Homework #3 Solutions

6.003 Homework #3 Solutions 6.00 Homework # Solutios Problems. Complex umbers a. Evaluate the real ad imagiary parts of j j. π/ Real part = Imagiary part = 0 e Euler s formula says that j = e jπ/, so jπ/ j π/ j j = e = e. Thus the

More information

EE141- Spring 2007 Digital Integrated Circuits

EE141- Spring 2007 Digital Integrated Circuits EE141- Spring 27 igital Integrated Circuits Lecture 19 Sequential Circuits 1 Administrative Stuff Project Ph. 2 due Tu. 5pm 24 Cory box + email ee141- project@bwrc.eecs.berkeley.edu Hw 8 Posts this Fr.,

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 40 Digital Sigal Processig Prof. Mark Fowler Note Set #3 Covolutio & Impulse Respose Review Readig Assigmet: Sect. 2.3 of Proakis & Maolakis / Covolutio for LTI D-T systems We are tryig to fid y(t)

More information

Section 11.6 Absolute and Conditional Convergence, Root and Ratio Tests

Section 11.6 Absolute and Conditional Convergence, Root and Ratio Tests Sectio.6 Absolute ad Coditioal Covergece, Root ad Ratio Tests I this chapter we have see several examples of covergece tests that oly apply to series whose terms are oegative. I this sectio, we will lear

More information

Mathematical Description of Discrete-Time Signals. 9/10/16 M. J. Roberts - All Rights Reserved 1

Mathematical Description of Discrete-Time Signals. 9/10/16 M. J. Roberts - All Rights Reserved 1 Mathematical Descriptio of Discrete-Time Sigals 9/10/16 M. J. Roberts - All Rights Reserved 1 Samplig ad Discrete Time Samplig is the acquisitio of the values of a cotiuous-time sigal at discrete poits

More information

2D DSP Basics: 2D Systems

2D DSP Basics: 2D Systems - Digital Image Processig ad Compressio D DSP Basics: D Systems D Systems T[ ] y = T [ ] Liearity Additivity: If T y = T [ ] The + T y = y + y Homogeeity: If The T y = T [ ] a T y = ay = at [ ] Liearity

More information

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design MOS Digital Itegrated ircuits Aalysis ad Desig hapter 9 Dyamic Logic ircuits 1 Itroductio Static logic circuit Output correspodig to the iput voltage after a certai time delay Preservig its output level

More information

( ) (( ) ) ANSWERS TO EXERCISES IN APPENDIX B. Section B.1 VECTORS AND SETS. Exercise B.1-1: Convex sets. are convex, , hence. and. (a) Let.

( ) (( ) ) ANSWERS TO EXERCISES IN APPENDIX B. Section B.1 VECTORS AND SETS. Exercise B.1-1: Convex sets. are convex, , hence. and. (a) Let. Joh Riley 8 Jue 03 ANSWERS TO EXERCISES IN APPENDIX B Sectio B VECTORS AND SETS Exercise B-: Covex sets (a) Let 0 x, x X, X, hece 0 x, x X ad 0 x, x X Sice X ad X are covex, x X ad x X The x X X, which

More information

(b) What is the probability that a particle reaches the upper boundary n before the lower boundary m?

(b) What is the probability that a particle reaches the upper boundary n before the lower boundary m? MATH 529 The Boudary Problem The drukard s walk (or boudary problem) is oe of the most famous problems i the theory of radom walks. Oe versio of the problem is described as follows: Suppose a particle

More information

EE 505. Lecture 13. String DACs

EE 505. Lecture 13. String DACs EE 505 Lecture 13 Strig DACs -Strig DAC V FF S 1 S 2 Simple structure Iheretly mootoe Very low DNL Challeges: S N-2 S N-1 S N S k d k Maagig INL Large umber of devices for large outig thermometer/bubble

More information

7. Modern Techniques. Data Encryption Standard (DES)

7. Modern Techniques. Data Encryption Standard (DES) 7. Moder Techiques. Data Ecryptio Stadard (DES) The objective of this chapter is to illustrate the priciples of moder covetioal ecryptio. For this purpose, we focus o the most widely used covetioal ecryptio

More information

Integer Programming (IP)

Integer Programming (IP) Iteger Programmig (IP) The geeral liear mathematical programmig problem where Mied IP Problem - MIP ma c T + h Z T y A + G y + y b R p + vector of positive iteger variables y vector of positive real variables

More information

Last lecture Counter design Finite state machine started vending machine example. Today Continue on the vending machine example Moore/Mealy machines

Last lecture Counter design Finite state machine started vending machine example. Today Continue on the vending machine example Moore/Mealy machines Lecture 2 Logistics HW6 due Wednesday Lab 7 this week (Tuesday exception) Midterm 2 Friday (covers material up to simple FSM (today)) Review on Thursday Yoky office hour on Friday moved to Thursday 2-:2pm

More information

ECE4270 Fundamentals of DSP. Lecture 2 Discrete-Time Signals and Systems & Difference Equations. Overview of Lecture 2. More Discrete-Time Systems

ECE4270 Fundamentals of DSP. Lecture 2 Discrete-Time Signals and Systems & Difference Equations. Overview of Lecture 2. More Discrete-Time Systems ECE4270 Fudametals of DSP Lecture 2 Discrete-Time Sigals ad Systems & Differece Equatios School of ECE Ceter for Sigal ad Iformatio Processig Georgia Istitute of Techology Overview of Lecture 2 Aoucemet

More information

A New Solution Method for the Finite-Horizon Discrete-Time EOQ Problem

A New Solution Method for the Finite-Horizon Discrete-Time EOQ Problem This is the Pre-Published Versio. A New Solutio Method for the Fiite-Horizo Discrete-Time EOQ Problem Chug-Lu Li Departmet of Logistics The Hog Kog Polytechic Uiversity Hug Hom, Kowloo, Hog Kog Phoe: +852-2766-7410

More information

Lesson 10: Limits and Continuity

Lesson 10: Limits and Continuity www.scimsacademy.com Lesso 10: Limits ad Cotiuity SCIMS Academy 1 Limit of a fuctio The cocept of limit of a fuctio is cetral to all other cocepts i calculus (like cotiuity, derivative, defiite itegrals

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

Sequences A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence

Sequences A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence Sequeces A sequece of umbers is a fuctio whose domai is the positive itegers. We ca see that the sequece 1, 1, 2, 2, 3, 3,... is a fuctio from the positive itegers whe we write the first sequece elemet

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1 W Combinational circuit Flip-flops Combinational circuit Z cycle: t t t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9 t : : Figure 8.. The general form of a sequential circuit. Figure 8.2. Sequences of input and output

More information

ECE380 Digital Logic. Synchronous sequential circuits

ECE380 Digital Logic. Synchronous sequential circuits ECE38 Digital Logic Synchronous Sequential Circuits: State Diagrams, State Tables Dr. D. J. Jackson Lecture 27- Synchronous sequential circuits Circuits here a clock signal is used to control operation

More information

6.3 Testing Series With Positive Terms

6.3 Testing Series With Positive Terms 6.3. TESTING SERIES WITH POSITIVE TERMS 307 6.3 Testig Series With Positive Terms 6.3. Review of what is kow up to ow I theory, testig a series a i for covergece amouts to fidig the i= sequece of partial

More information

P1 Chapter 8 :: Binomial Expansion

P1 Chapter 8 :: Binomial Expansion P Chapter 8 :: Biomial Expasio jfrost@tiffi.kigsto.sch.uk www.drfrostmaths.com @DrFrostMaths Last modified: 6 th August 7 Use of DrFrostMaths for practice Register for free at: www.drfrostmaths.com/homework

More information

Statistical Pattern Recognition

Statistical Pattern Recognition Statistical Patter Recogitio Classificatio: No-Parametric Modelig Hamid R. Rabiee Jafar Muhammadi Sprig 2014 http://ce.sharif.edu/courses/92-93/2/ce725-2/ Ageda Parametric Modelig No-Parametric Modelig

More information

6.867 Machine learning

6.867 Machine learning 6.867 Machie learig Mid-term exam October, ( poits) Your ame ad MIT ID: Problem We are iterested here i a particular -dimesioal liear regressio problem. The dataset correspodig to this problem has examples

More information

( 1) n (4x + 1) n. n=0

( 1) n (4x + 1) n. n=0 Problem 1 (10.6, #). Fid the radius of covergece for the series: ( 1) (4x + 1). For what values of x does the series coverge absolutely, ad for what values of x does the series coverge coditioally? Solutio.

More information

Topic 8: Sequential Circuits

Topic 8: Sequential Circuits Topic 8: Sequential Circuits Readings : Patterson & Hennesy, Appendix B.4 - B.6 Goals Basic Principles behind Memory Elements Clocks Applications of sequential circuits Introduction to the concept of the

More information

L = n i, i=1. dp p n 1

L = n i, i=1. dp p n 1 Exchageable sequeces ad probabilities for probabilities 1996; modified 98 5 21 to add material o mutual iformatio; modified 98 7 21 to add Heath-Sudderth proof of de Fietti represetatio; modified 99 11

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Chapter 9 - CD companion 1. A Generic Implementation; The Common-Merge Amplifier. 1 τ is. ω ch. τ io

Chapter 9 - CD companion 1. A Generic Implementation; The Common-Merge Amplifier. 1 τ is. ω ch. τ io Chapter 9 - CD compaio CHAPTER NINE CD-9.2 CD-9.2. Stages With Voltage ad Curret Gai A Geeric Implemetatio; The Commo-Merge Amplifier The advaced method preseted i the text for approximatig cutoff frequecies

More information

c. Explain the basic Newsvendor model. Why is it useful for SC models? e. What additional research do you believe will be helpful in this area?

c. Explain the basic Newsvendor model. Why is it useful for SC models? e. What additional research do you believe will be helpful in this area? 1. Research Methodology a. What is meat by the supply chai (SC) coordiatio problem ad does it apply to all types of SC s? Does the Bullwhip effect relate to all types of SC s? Also does it relate to SC

More information

End-of-Year Contest. ERHS Math Club. May 5, 2009

End-of-Year Contest. ERHS Math Club. May 5, 2009 Ed-of-Year Cotest ERHS Math Club May 5, 009 Problem 1: There are 9 cois. Oe is fake ad weighs a little less tha the others. Fid the fake coi by weighigs. Solutio: Separate the 9 cois ito 3 groups (A, B,

More information

Time-Domain Representations of LTI Systems

Time-Domain Representations of LTI Systems 2.1 Itroductio Objectives: 1. Impulse resposes of LTI systems 2. Liear costat-coefficiets differetial or differece equatios of LTI systems 3. Bloc diagram represetatios of LTI systems 4. State-variable

More information

9.3 The INTEGRAL TEST; p-series

9.3 The INTEGRAL TEST; p-series Lecture 9.3 & 9.4 Math 0B Nguye of 6 Istructor s Versio 9.3 The INTEGRAL TEST; p-series I this ad the followig sectio, you will study several covergece tests that apply to series with positive terms. Note

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

6. Finite State Machines

6. Finite State Machines 6. Finite State Machines 6.4x Computation Structures Part Digital Circuits Copyright 25 MIT EECS 6.4 Computation Structures L6: Finite State Machines, Slide # Our New Machine Clock State Registers k Current

More information

Product measures, Tonelli s and Fubini s theorems For use in MAT3400/4400, autumn 2014 Nadia S. Larsen. Version of 13 October 2014.

Product measures, Tonelli s and Fubini s theorems For use in MAT3400/4400, autumn 2014 Nadia S. Larsen. Version of 13 October 2014. Product measures, Toelli s ad Fubii s theorems For use i MAT3400/4400, autum 2014 Nadia S. Larse Versio of 13 October 2014. 1. Costructio of the product measure The purpose of these otes is to preset the

More information

14.1. Unit 14. State Machine Design

14.1. Unit 14. State Machine Design 4. Unit 4 State Machine Design 4.2 Outcomes I can create a state diagram to solve a sequential problem I can implement a working state machine given a state diagram STATE MACHINES OVERVIEW 4.3 4.4 Review

More information

Analysis of Supportable Rates in Symmetric Blocking Wavelength Routers

Analysis of Supportable Rates in Symmetric Blocking Wavelength Routers Aalysis of Supportable Rates i Symmetric Blockig Wavelegth Routers Ca Emre Koksal EPFL School of Computer ad Commuicatio Scieces Lausae, Switzerlad E-mail: emrekoksal@epflch Abstract Costructig a o-blockig

More information

Sequential logic and design

Sequential logic and design Principles Of Digital Design Sequential logic and design Analysis State-based (Moore) Input-based (Mealy) FSM definition Synthesis State minimization Encoding Optimization and timing Copyright 20-20by

More information

Combinational & Sequential Logic

Combinational & Sequential Logic Combiatioal & Sequetial Logic ENGG23 2 d Semester, 27/8 Dr. Hade So Departmet of Electrical ad Electroic Egieerig Outlie Simplifig logic circuits Miimizatio b Boolea algebra Miimizatio b Karaugh maps Adders,

More information

IP Reference guide for integer programming formulations.

IP Reference guide for integer programming formulations. IP Referece guide for iteger programmig formulatios. by James B. Orli for 15.053 ad 15.058 This documet is iteded as a compact (or relatively compact) guide to the formulatio of iteger programs. For more

More information

6. Uniform distribution mod 1

6. Uniform distribution mod 1 6. Uiform distributio mod 1 6.1 Uiform distributio ad Weyl s criterio Let x be a seuece of real umbers. We may decompose x as the sum of its iteger part [x ] = sup{m Z m x } (i.e. the largest iteger which

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Indian Institute of Technology Jodhpur, Year 2017-2018 Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

Ma 530 Introduction to Power Series

Ma 530 Introduction to Power Series Ma 530 Itroductio to Power Series Please ote that there is material o power series at Visual Calculus. Some of this material was used as part of the presetatio of the topics that follow. What is a Power

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

Disjoint set (Union-Find)

Disjoint set (Union-Find) CS124 Lecture 7 Fall 2018 Disjoit set (Uio-Fid) For Kruskal s algorithm for the miimum spaig tree problem, we foud that we eeded a data structure for maitaiig a collectio of disjoit sets. That is, we eed

More information

Digital Design. Sequential Logic

Digital Design. Sequential Logic Principles Of igital esign Chapter 6 Sequential Logic Chapter preview Boolean algebra 3 Logic gates and flip-flops 3 Finite-state machine 6 Logic design techniques 4 Sequential design techniques 6 Binary

More information

MORE TUTORIALS FOR VERILOG DIGITAL ELECTRONICS SYSTEM DESIGN HOMEWORK ASSIGNMENTS DATASHEETS FOR PARTS 10/3/2018

MORE TUTORIALS FOR VERILOG DIGITAL ELECTRONICS SYSTEM DESIGN HOMEWORK ASSIGNMENTS DATASHEETS FOR PARTS 10/3/2018 //8 DIGITA EECTRONICS SYSTEM DESIGN FA 8 PROFS. IRIS BAHAR & ROD BERESFORD OCTOBER, 8 ECTURE 9: CMOS TRANSIENT BEHAIOR MORE TUTORIAS FOR ERIOG O the course website you ca fid some useful liks to additioal

More information

11 Hidden Markov Models

11 Hidden Markov Models Hidde Markov Models Hidde Markov Models are a popular machie learig approach i bioiformatics. Machie learig algorithms are preseted with traiig data, which are used to derive importat isights about the

More information

1. By using truth tables prove that, for all statements P and Q, the statement

1. By using truth tables prove that, for all statements P and Q, the statement Author: Satiago Salazar Problems I: Mathematical Statemets ad Proofs. By usig truth tables prove that, for all statemets P ad Q, the statemet P Q ad its cotrapositive ot Q (ot P) are equivalet. I example.2.3

More information

Generalized FSM model: Moore and Mealy

Generalized FSM model: Moore and Mealy Lecture 18 Logistics HW7 is due on Monday (and topic included in midterm 2) Midterm 2 on Wednesday in lecture slot cover materials up to today s lecture Review session Tuesday 4:15pm in EEB125 Last lecture

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information