Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018

Size: px
Start display at page:

Download "Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018"

Transcription

1 Desig of Digital Circuits Lecture 7: Seuetial Logic Desig Prof. Our Mutlu ETH Zurich Sprig March 2018

2 Readigs Please study Slides from Lecture 6 o your ow This week Seuetial Logic P&P Chapter 3.4 util ed + H&H Chapter 3 i full Hardware Descriptio Laguages ad Verilog H&H Chapter 4 i full Timig ad Verificatio H&H Chapters 2.9 ad Chapter 5 Next week Vo Neuma Model, LC3, ad MIPS P&P Chapter H&H Chapter 6 Digital Buildig Blocks H&H Chapter 5 2

3 What We Will Lear Today Circuits that ca store iformatio R-S Latch Gated D Latch D Flip-Flop Register Fiite State Machies (FSM) Moore Machie Mealy Machie Verilog implemetatios of seuetial circuits 3

4 Circuits that Ca Store Iformatio 4

5 Itroductio Combiatioal circuit output depeds oly o curret iput We wat circuits that produce output depedig o curret ad past iput values circuits with memory How ca we desig a circuit that stores iformatio? iputs Seuetial Circuit Combiatioal Circuit outputs Storage Elemet 5

6 Basic Storage Elemet: The R-S Latch 6

7 The R-S (Reset-Set) Latch The simplest implemetatio of the R-S Latch Two NAND gates with outputs feedig ito each other s iput Data is stored at Q (iverse at Q ) S ad R iputs are held at 1 i uiescet (idle) state S (set): drive S to 0 (keepig R at 1) to chage Q to 1 R (reset): drive R to 0 (keepig S at 1) to chage Q to 0 S ad R should ever both be 0 at the same time S Q Iput Output R S Q 1 1 Q prev R Q 0 0 Ivalid 7

8 Why ot R=S=0? S If R=S=0, Q ad Q will both settle to 1, which breaks our ivariat that Q =!Q 2. If S ad R trasitio back to 1 at the same time, Q ad Q begi to oscillate betwee 1 ad 0 because their fial values deped o each other (metastability) R Q 01 Q Iput This evetually settles depedig o variatio i the circuits (more metastability to come i Lecture 8) Output R S Q 1 1 Q prev Ivalid 8

9 The Gated D Latch 9

10 The Gated D Latch How do we guaratee correct operatio of a R-S Latch? S Q R Q 10

11 The Gated D Latch How do we guaratee correct operatio of a R-S Latch? Add two more NAND gates! D S Q Write Eable R Q Q takes the value of D, whe write eable (WE) is set to 1 S ad R ca ever be 0 at the same time! 11

12 The Gated D Latch D S Q Write Eable R Q Iput Output WE D Q 0 0 Q prev 0 1 Q prev

13 The Register 13

14 The Register How ca we use D latches to store more data? Use more D latches! A sigle WE sigal for all latches for simultaeous writes Write Eable D 3 D 2 D 1 D 0 Here we have a register, or a structure that stores more tha oe bit ad ca be read from ad writte to Q 3 Q 2 Q 1 Q 0 This register holds 4 bits, ad its data is refereced as Q[3:0] 14

15 The Register How ca we use D latches to store more data? Use more D latches! A sigle WE sigal for all latches for simultaeous writes Here we have a register, or a D 3:0 structure that 4 stores more tha oe bit ad ca be read from ad WE writte to Register x (Rx) Q 3:0 4 This register holds 4 bits, ad its data is refereced as Q[3:0] 15

16 Memory 16

17 Memory Memory is comprised of locatios that ca be writte to or read from. A example memory array with 4 locatios: Addr(00): Addr(01): Addr(10): Addr(11): Every uiue locatio i memory is idexed with a uiue address. 4 locatios reuire 2 address bits (log[#locatios]). Addressability: the umber of bits of iformatio stored i each locatio. This example: addressability is 8 bits. The full set of uiue locatios i memory is referred to as the address space. Typical memory is MUCH larger (billios of locatios) 17

18 Addressig Memory Let s implemet a simple memory array with: 3-bit addressability & address space size of 2 (total of 6 bits) D WE 1 Bit Q 6-Bit Memory Array Addr(0) Addr(1) Bit 2 Bit 1 Bit 0 Bit 2 Bit 1 Bit 0 18

19 Readig from Memory How ca we select the address to read? Because there are 2 addresses, address size is log(2)=1 bit 19

20 Readig from Memory How ca we select the address to read? Because there are 2 addresses, address size is log(2)=1 bit Addr[0] Wordlie D[2] D[1] D[0] 20

21 Readig from Memory How ca we select the address to read? Because there are 2 addresses, address size is log(2)=1 bit Addr[0] Wordlie Address Decoder D[2] D[1] D[0] 21

22 Readig from Memory How ca we select the address to read? Because there are 2 addresses, address size is log(2)=1 bit Addr[0] Wordlie Address Decoder Multiplexer D[2] D[1] D[0] 22

23 Writig to Memory How ca we select the address ad write to it? 23

24 Writig to Memory How ca we select the address ad write to it? Iput is idicated with D i Addr[0] D i [2] D i [1] D i [0] WE 24

25 Puttig it all Together Eable readig ad writig to a memory array Addr[0] D i [2] D i [1] D i [0] WE D[2] D[1] D[0] 25

26 A Bigger Memory Array Addr[1:0] D i [2] D i [1] D i [0] WE D[2] D[1] D[0] 26

27 A Bigger Memory Array Addr[1:0] D i [2] D i [1] D i [0] WE Address Decoder Multiplexer D[2] D[1] D[0] 27

28 Seuetial Logic Circuits 28

29 Seuetial Logic Circuits We have looked at desigs of circuit elemets that ca store iformatio Now, we will use these elemets to build circuits that remember past iputs Combiatioal Oly depeds o curret iputs Seuetial Opes depedig o past iputs

30 State I order for this lock to work, it has to keep track (remember) of the past evets! If passcode is R13-L22-R3, seuece of states to ulock: A. The lock is ot ope (locked), ad o relevat operatios have bee performed B. Locked but user has completed R13 C. Locked but user has completed L22 D. Locked but user has completed R3 E. The lock ca ow be opeed The state of a system is a sapshot of all relevat elemets of the system at the momet of the sapshot To ope the lock, states A-E must be completed i order If aythig else happes (e.g., L5), lock returs to state A 30

31 Aother Simple Example of State A stadard Swiss traffic light has 4 states A. Gree B. Yellow C. Red D. Red ad Yellow The seuece of these states are always as follows A B C D 31

32 Chagig State: The Notio of Clock (I) A B C D Whe should the light chage from oe state to aother? We eed a clock to dictate whe to chage state Clock sigal alterates betwee 0 & 1 CLK: 1 0 At the start of a clock cycle ( ), system state chages Durig a clock cycle, the state stays costat I this traffic light example, we are assumig the traffic light stays i each state a eual amout of time 32

33 Chagig State: The Notio of Clock (II) Clock is a geeral mechaism that triggers trasitio from oe state to aother i a seuetial circuit Clock sychroizes state chages across may seuetial circuit elemets Combiatioal logic evaluates for the legth of the clock cycle Clock cycle should be chose to accommodate maximum combiatioal circuit delay More o this later, whe we discuss timig 33

34 Fiite State Machies 34

35 Fiite State Machies What is a Fiite State Machie (FSM)? A discrete-time model of a stateful system Each state represets a sapshot of the system at a give time A FSM pictorially shows the set of all possible states that a system ca be i how the system trasitios from oe state to aother A FSM ca model A traffic light, a elevator, fa speed, a microprocessor, etc. A FSM eables us to pictorially thik of a stateful system usig simple diagrams 35

36 Fiite State Machies (FSMs) Cosist of: Five elemets: 1. A fiite umber of states State: sapshot of all relevat elemets of the system at the time of the sapshot 2. A fiite umber of exteral iputs 3. A fiite umber of exteral outputs 4. A explicit specificatio of all state trasitios How to get from oe state to aother 5. A explicit specificatio of what determies each exteral output value 36

37 Fiite State Machies (FSMs) Each FSM cosists of three separate parts: ext state logic state register output logic CLK iputs M ext state logic k ext state k state output logic N outputs state register At the begiig of the clock cycle, ext state is latched ito the state register 37

38 Fiite State Machies (FSMs) Cosist of: Seuetial circuits State register(s) Store the curret state ad Load the ext state at the clock edge Next State CLK S S Curret State Combiatioal Circuits Next state logic Determies what the ext state will be Next State Logic C L Next State Output logic Geerates the outputs Output Logic C L Outputs 38

39 Fiite State Machies (FSMs) Cosist of: Seuetial circuits State register(s) Store the curret state ad Load the ext state at the clock edge Next State CLK S S Curret State Combiatioal Circuits Next state logic Determies what the ext state will be Next State Logic C L Next State Output logic Geerates the outputs Output Logic C L Outputs 39

40 State Register Implemetatio How ca we implemet a state register? Two properties: 1. We eed to store data at the begiig of every clock cycle 2. The data must be available durig the etire clock cycle CLK: 0 1 Iput: Output: 40

41 The Problem with Latches Recall the Gated D Latch D CLK = WE Q Curretly, we caot simply wire a clock to WE of a latch Whe the clock is high, Q will ot take o D s value AND Whe the clock is low, the latch will propagate D to Q CLK: 0 1 Iput: Output: 41

42 The Problem with Latches Recall the Gated D Latch D CLK = WE Q Curretly, we caot simply wire a clock to WE of a latch Whe the clock is high, Q will ot take o D s value AND Whe the clock is low, the latch will propagate D to Q CLK: 0 1 Iput: Output: 42

43 The Problem with Latches Recall the Gated D Latch D CLK = WE Q Curretly, we caot simply wire a clock to WE of a latch Whe the clock is high Q will ot take o D s value AND How ca we chage the latch, so that Whe the clock is low the latch will propagate D to Q 1) D (iput) is observable at Q (output) oly at the begiig of ext clock cycle? CLK: 0 1 Iput: 2) Output: Q is available for the full clock cycle 43

44 The D Flip-Flop 1) state chage o clock edge, 2) data available for full cycle D D Latch (Master) D Latch (Slave) Q CLK CLK: 1 0 Whe the clock is low, master propagates D to the iput of slave (Q uchaged) Oly whe the clock is high, slave latches D (Q stores D) At the risig edge of clock (clock goig from 0->1), Q gets assiged D 44

45 The D Flip-Flop 1) state chage o clock edge, 2) data available for full cycle D CLK Q Q D Flip-Flop CLK: 1 0 At the risig edge of clock (clock goig from 0->1), Q gets assiged D At all other times, Q is uchaged 45

46 The D Flip-Flop How do we implemet this? D CLK Q We ca use these Flip-Flops D Flip-Flop to implemet the state register! CLK: At the risig edge of clock (clock goig from 0->1), Q gets assiged D At all other times, Q is uchaged 1 0 Q 46

47 Risig-Edge Triggered Flip-Flop Two iputs: CLK, D Fuctio The flip-flop samples D o the risig edge of CLK (positive edge) Whe CLK rises from 0 to 1, D passes through to Q Otherwise, Q holds its previous value Q chages oly o the risig edge of CLK D Flip-Flop CLKSymbols D Q Q A flip-flop is called a edge-triggered device because it is activated o the clock edge 47

48 Register Multiple parallel flip-flops, each of which store 1 bit CLK D 0 D Q Q 0 CLK D 1 D Q Q 1 D 3:0 4 4 Q 3:0 D 2 D Q Q 2 This lie represets 4 wires D 3 D Q Q 3 This register stores 4 bits 48

49 Fiite State Machies (FSMs) Next state is determied by the curret state ad the iputs Two types of fiite state machies differ i the output logic: Moore FSM: outputs deped oly o the curret state Moore FSM iputs M ext state logic k ext state CLK k state output logic N outputs Mealy FSM iputs M ext state logic k ext state CLK k state output logic N outputs 49

50 Fiite State Machies (FSMs) Next state is determied by the curret state ad the iputs Two types of fiite state machies differ i the output logic: Moore FSM: outputs deped oly o the curret state Mealy FSM: outputs deped o the curret state ad the iputs Moore FSM iputs M ext state logic k ext state CLK k state output logic N outputs Mealy FSM iputs M ext state logic k ext state CLK k state output logic N outputs 50

51 Fiite State Machie Example Smart traffic light cotroller 2 iputs: Traffic sesors: T A, T B (TRUE whe there s traffic) 2 outputs: Lights:, (Red, Yellow, Gree) Academic Labs T A Bravado Blvd. T B T B Diig Hall T A Fields Ave. Dorms 51

52 Fiite State Machie Black Box Iputs: CLK, Reset, T A, T B Outputs:, CLK T A T B Traffic Light Cotroller Reset 52

53 Fiite State Machie Trasitio Diagram Moore FSM: outputs labeled i each state States: Circles Trasitios: Arcs Academic Labs T A Bravado Blvd. T B T B Diig Hall T A Fields Ave. Dorms Reset S0 : gree 53

54 Fiite State Machie Trasitio Diagram Moore FSM: outputs labeled i each state States: Circles Trasitios: Arcs Academic Labs T A Bravado Blvd. T B T B Diig Hall T A Fields Ave. Dorms Reset S0 : gree S3 : yellow T A TA T B T B S1 : yellow S2 : gree 54

55 Fiite State Machie Trasitio Diagram Moore FSM: outputs labeled i each state States: Circles Trasitios: Arcs Academic Labs T A Bravado Blvd. T B T B Diig Hall T A Fields Ave. Dorms Reset S0 : gree S3 : yellow T A TA T B T B S1 : yellow S2 : gree 55

56 Fiite State Machie Trasitio Diagram Moore FSM: outputs labeled i each state States: Circles Trasitios: Arcs Academic Labs T A Bravado Blvd. T B T B Diig Hall T A Fields Ave. Dorms Reset S0 : gree S3 : yellow T A TA T B T B S1 : yellow S2 : gree 56

57 Fiite State Machie Trasitio Diagram Moore FSM: outputs labeled i each state States: Circles Trasitios: Arcs Academic Labs T A Bravado Blvd. T B T B Diig Hall T A Fields Ave. Dorms Reset S0 : gree S3 : yellow T A TA T B T B S1 : yellow S2 : gree 57

58 Fiite State Machies State Trasitio Table 58

59 FSM State Trasitio Table Reset S0 : gree T A TA S1 : yellow Curret State Iputs Next State S T A T B S' S0 0 X S0 1 X S1 X X S2 X 0 S3 : yellow T B S2 : gree S2 X 1 S3 X X T B 59

60 FSM State Trasitio Table Reset S0 : gree T A TA S1 : yellow Curret State Iputs Next State S T A T B S' S0 0 X S1 S0 1 X S0 S1 X X S2 S2 X 0 S3 S3 : yellow T B S2 : gree S2 X 1 S2 S3 X X S0 T B 60

61 FSM State Trasitio Table Reset S0 : gree T A TA S1 : yellow Curret State Iputs Next State S T A T B S' S0 0 X S1 S0 1 X S0 S1 X X S2 S2 X 0 S3 S3 : yellow T B T B S2 : gree S2 X 1 S2 S3 X X S0 State Ecodig S0 00 S1 01 S2 10 S

62 FSM State Trasitio Table Reset T A TA Curret State Iputs Next State S0 : gree S1 : yellow S 1 S 0 T A T B S 1 S X X X X X S3 : yellow S2 : gree 1 0 X X X 0 0 T B T B State Ecodig S0 00 S1 01 S2 10 S

63 FSM State Trasitio Table Reset T A TA Curret State Iputs Next State S0 : gree S1 : yellow S 1 S 0 T A T B S 1 S X X X X X S3 : yellow S2 : gree 1 0 X X X 0 0 T B T B State Ecodig S0 00 S 1 =? S1 01 S2 10 S

64 FSM State Trasitio Table Reset T A TA Curret State Iputs Next State S0 : gree S1 : yellow S 1 S 0 T A T B S 1 S X X X X X S3 : yellow S2 : gree 1 0 X X X 0 0 T B T B State Ecodig S0 00 S 1 = (S 1 S 0 ) + (S 1 S 0 T B ) + (S 1 S 0 T B ) S1 01 S2 10 S

65 FSM State Trasitio Table Reset T A TA Curret State Iputs Next State S0 : gree S1 : yellow S 1 S 0 T A T B S 1 S X X X X X S3 : yellow S2 : gree 1 0 X X X 0 0 T B T B State Ecodig S0 00 S 1 = (S 1 S 0 ) + (S 1 S 0 T B ) + (S 1 S 0 T B ) S 0 =? S1 01 S2 10 S

66 FSM State Trasitio Table Reset T A TA Curret State Iputs Next State S0 : gree S1 : yellow S 1 S 0 T A T B S 1 S X X X X X S3 : yellow S2 : gree 1 0 X X X 0 0 T B T B State Ecodig S0 00 S 1 = (S 1 S 0 ) + (S 1 S 0 T B ) + (S 1 S 0 T B ) S 0 = (S 1 S 0 T A ) + (S 1 S 0 T B ) S1 01 S2 10 S

67 FSM State Trasitio Table Reset T A TA Curret State Iputs Next State S0 : gree S1 : yellow S 1 S 0 T A T B S 1 S X X X X X S3 : yellow S2 : gree 1 0 X X X 0 0 T B T B State Ecodig S0 00 S 1 = S 1 xor S 0 (Simplified) S 0 = (S 1 S 0 T A ) + (S 1 S 0 T B ) S1 01 S2 10 S

68 Fiite State Machies Output Table 68

69 FSM Output Table Reset T A TA Curret State Outputs S0 : gree S1 : yellow S 1 S gree red 0 1 yellow red 1 0 red gree 1 1 red yellow S3 : yellow S2 : gree T B T B 69

70 FSM Output Table Reset T A TA Curret State Outputs S0 : gree S1 : yellow S 1 S gree red 0 1 yellow red 1 0 red gree 1 1 red yellow S3 : yellow S2 : gree T B T B Output Ecodig gree 00 yellow 01 red 10 70

71 FSM Output Table Reset T A TA Curret State Outputs S0 : gree S1 : yellow S 1 S S3 : yellow S2 : gree T B T B Output Ecodig 1 = S 1 gree 00 yellow 01 red 10 71

72 FSM Output Table Reset T A TA Curret State Outputs S0 : gree S1 : yellow S 1 S S3 : yellow S2 : gree T B T B Output Ecodig 1 = S 1 0 = S 1 S 0 gree 00 yellow 01 red 10 72

73 FSM Output Table Reset T A TA Curret State Outputs S0 : gree S1 : yellow S 1 S S3 : yellow S2 : gree T B T B Output Ecodig 1 = S 1 0 = S 1 S 0 1 = S 1 gree 00 yellow 01 red 10 73

74 FSM Output Table Reset T A TA Curret State Outputs S0 : gree S1 : yellow S 1 S S3 : yellow T B T B S2 : gree Output Ecodig gree 00 1 = S 1 yellow 01 0 = S 1 S 0 L red 10 B1 = S 1 0 = S 1 S 0 74

75 Fiite State Machies Schematic 75

76 FSM Schematic: State Register 76

77 FSM Schematic: State Register CLK S' 1 S 1 S' 0 r Reset S 0 state register 77

78 FSM Schematic: Next State Logic CLK S' 1 S 1 T A T B S' 0 r Reset S 0 S 1 S 0 iputs ext state logic state register S 1 = S 1 xor S 0 S 0 = (S 1 S 0 T A ) + (S 1 S 0 T B ) 78

79 FSM Schematic: Output Logic CLK 1 S' 1 S 1 0 T A S' 0 r S 0 1 T B Reset S 1 S 0 0 iputs ext state logic state register output logic outputs 1 = S 1 0 = S 1 S 0 1 = S 1 0 = S 1 S 0 79

80 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 80

81 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 81

82 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 82

83 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 83

84 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 84

85 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 85

86 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 86

87 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 87

88 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 88

89 FSM Timig Diagram Reset S0 : yellow T A T A S1 : yellow S3 : yellow T B TB S2 : gree Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle 10 CLK Reset T A T B S' 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) S1 (01) S 1:0?? S0 (00) S1 (01) S2 (10) S3 (11) S0 (00) 1:0?? Gree (00) Yellow (01) Red (10) Gree (00) 1:0?? Red (10) Gree (00) Yellow (01) Red (10) t (sec) 89

90 FSM State Ecodig 3 commo state biary ecodigs with differet tradeoffs 1. Fully Ecoded 2. 1-Hot Ecoded 3. Output Ecoded Let s see a example Swiss traffic light with 4 states Gree, Yellow, Red, Yellow+Red 90

91 FSM State Ecodig 1. Fully Ecoded: Miimizes # flip-flops, but ot ecessarily output logic or ext state logic Use log 2 (um_states) bits to represet the states Example states: 00, 01, 10, Hot Ecoded: Maximizes # flip-flops, miimizes ext state logic Simplest desig process very automatable Use um_states bits to represet the states Example states: 0001, 0010, 0100,

92 FSM State Ecodig 3. Output Ecoded: Miimizes output logic Oly works for Moore Machies Each state has to be ecoded uiuely, but the outputs must be directly accessible i the state ecodig For example, sice we have 3 outputs (light color), ecode state with 3 bits, where each bit represets a color Example states: 001, 010, 100, 110 Bit 0 ecodes gree light output, Bit 1 ecodes yellow light output Bit 2 ecodes red light output 92

93 FSM State Ecodig 3. Output Ecoded: Miimizes output logic Oly works for Moore Machies Each state has to be ecoded uiuely, but the outputs must be directly accessible i the state ecodig For The example, desiger sice we must have carefully 3 outputs (light choose color), ecode state with 3 bits, where each bit represets a color Example states: uder 001, give 010, 100, costraits 110 a ecodig scheme to optimize the desig Bit 0 ecodes gree light output, Bit 1 ecodes yellow light output Bit 2 ecodes red light output 93

94 Moore vs. Mealy Machies 94

95 Moore vs. Mealy FSM Alyssa P. Hacker has a sail that crawls dow a paper tape with 1 s ad 0 s o it. The sail smiles wheever the last four digits it has crawled over are Desig Moore ad Mealy FSMs of the sail s brai. Moore FSM iputs M ext state logic k ext state CLK k state output logic N outputs Mealy FSM iputs M ext state logic k ext state CLK k state output logic N outputs 95

96 Moore vs. Mealy FSM Alyssa P. Hacker has a sail that crawls dow a paper tape with 1 s ad 0 s o it. The sail smiles wheever the last four digits it has crawled over are Desig Moore ad Mealy FSMs of the sail s brai. Moore FSM iputs M ext state logic k ext state CLK k state output logic N outputs Mealy FSM iputs M ext state logic k ext state CLK k state output logic N outputs 96

97 State Trasitio Diagrams Moore FSM 1 reset S0 0 0 S1 0 S2 0 S S4 1 Mealy FSM What are the tradeoffs? reset 1/0 1/0 0/0 1/1 0/0 S0 S1 S2 S3 0/0 1/0 0/0 97

98 FSM Desig Procedure Determie all possible states of your machie Develop a state trasitio diagram Geerally this is doe from a textual descriptio You eed to 1) determie the iputs ad outputs for each state ad 2) figure out how to get from oe state to aother Approach Start by defiig the reset state ad what happes from it this is typically a easy poit to start from The cotiue to add trasitios ad states Pickig good state ames is very importat Buildig a FSM is like programmig (but it is ot programmig!) A FSM has a seuetial cotrol-flow like a program with coditioals ad goto s The if-the-else costruct is cotrolled by oe or more iputs The outputs are cotrolled by the state or the iputs I hardware, we typically have may cocurret FSMs 98

99 Implemetig Seuetial Logic Usig Verilog 99

100 Verilog: Last Week vs. This Week We have see a overview of Verilog Discussed structural ad behavioral modelig Showed combiatioal logic costructs This week Seuetial logic costructs i Verilog Developig testbeches for simulatio 100

101 Combiatioal + Memory = Seuetial Seuetial Circuit iputs Combiatioal Circuit outputs Storage Elemet 101

102 Seuetial Logic i Verilog Defie blocks that have memory Flip-Flops, Latches, Fiite State Machies Seuetial Logic state trasitio is triggered by a CLOCK evet Latches are sesitive to level of the sigal Flip-flops are sesitive to the trasitioig of clock Combiatioal costructs are ot sufficiet We eed ew costructs: always posedge/egedge 102

103 The always Block (sesitivity list) statemet; Wheever the evet i the sesitivity list occurs, the statemet is executed 103

104 Example: D Flip-Flop module flop(iput clk, iput [3:0] d, output reg [3:0] ); (posedge clk) <= d; // proouced gets d edmodule posedge defies a risig edge (trasitio from 0 to 1). Statemet executed whe the clk sigal rises (posedge of clk) Oce the clk sigal rises: the value of d is copied to 104

105 Example: D Flip-Flop module flop(iput clk, iput [3:0] d, output reg [3:0] ); (posedge clk) <= d; // proouced gets d edmodule assig statemet is ot used withi a always block <= describes a o-blockig assigmet We will see the differece betwee blockig assigmet ad o-blockig assigmet soo 105

106 Example: D Flip-Flop module flop(iput clk, iput [3:0] d, output reg [3:0] ); (posedge clk) <= d; // proouced gets d edmodule Assiged variables eed to be declared as reg The ame reg does ot ecessarily mea that the value is a register (It could be, but it does ot have to be) We will see examples later 106

107 Asychroous ad Sychroous Reset Reset sigals are used to iitialize the hardware to a kow state Usually activated at system start (o power up) Asychroous Reset The reset sigal is sampled idepedet of the clock Reset gets the highest priority Sesitive to glitches, may have metastability issues Will be discussed i Lecture 8 Sychroous Reset The reset sigal is sampled with respect to the clock The reset should be active log eough to get sampled at the clock edge Results i completely sychroous circuit 107

108 D Flip-Flop with Asychroous Reset module flop_ar (iput clk, iput reset, iput [3:0] d, output reg [3:0] ); (posedge clk, egedge reset) begi if (reset == 0) <= 0; // whe reset else <= d; // whe clk ed edmodule I this example: two evets ca trigger the process: A risig edge o clk A fallig edge o reset 108

109 D Flip-Flop with Asychroous Reset module flop_ar (iput clk, iput reset, iput [3:0] d, output reg [3:0] ); (posedge clk, egedge reset) begi if (reset == 0) <= 0; // whe reset else <= d; // whe clk ed edmodule For loger statemets, a begi-ed pair ca be used To improve readability I this example, it was ot ecessary, but it is a good idea 109

110 D Flip-Flop with Asychroous Reset module flop_ar (iput clk, iput reset, iput [3:0] d, output reg [3:0] ); (posedge clk, egedge reset) begi if (reset == 0) <= 0; // whe reset else <= d; // whe clk ed edmodule First reset is checked: if reset is 0, is set to 0. This is a asychroous reset as the reset ca happe idepedetly of the clock (o the egative edge of reset sigal) If there is o reset, the regular assigmet takes effect 110

111 D Flip-Flop with Sychroous Reset module flop_sr (iput clk, iput reset, iput [3:0] d, output reg [3:0] ); (posedge clk) begi if (reset == 0 ) <= 0; // whe reset else <= d; // whe clk ed edmodule The process is oly sesitive to clock Reset happes oly whe the clock rises. This is a sychroous reset 111

112 D Flip-Flop with Eable ad Reset module flop_e_ar (iput clk, iput reset, iput e, iput [3:0] d, output reg [3:0] ); (posedge clk, egedge reset) begi if (reset == 0 ) <= 0; // whe reset else if (e) <= d; // whe e AND clk ed edmodule A flip-flop with eable ad reset Note that the e sigal is ot i the sesitivity list gets d oly whe clk is risig ad e is 1 112

113 Example: D Latch module latch (iput clk, iput [3:0] d, output reg [3:0] ); (clk, d) if (clk) <= d; edmodule // latch is trasparet whe // clock is 1 113

114 Summary: Seuetial Statemets So Far Seuetial statemets are withi a always block The seuetial block is triggered with a chage i the sesitivity list Sigals assiged withi a always must be declared as reg We use <= for (o-blockig) assigmets ad do ot use assig withi the always block. 114

115 Basics of always Blocks module example (iput clk, iput [3:0] d, output reg [3:0] ); wire [3:0] ormal; // stadard wire reg [3:0] special; // assiged i always (posedge clk) special <= d; // first FF array assig ormal = ~ special; // simple assigmet (posedge clk) <= ormal; edmodule // secod FF array You ca have as may always blocks as eeded Assigmet to the same sigal i differet always blocks is ot allowed! 115

116 Why Does a always Block Memorize? module flop (iput clk, iput [3:0] d, output reg [3:0] ); (posedge clk) begi <= d; // whe clk rises copy d to ed edmodule This statemet describes what happes to sigal but what happes whe the clock is ot risig? The value of is preserved (memorized) 116

117 A always Block Does NOT Always Memorize module comb (iput iv, iput [3:0] data, output reg [3:0] result); (iv, data) // trigger with iv, data if (iv) result <= ~data;// result is iverted data else result <= data; // result is data edmodule This statemet describes what happes to sigal result Whe iv is 1, result is ~data Whe iv is ot 1, result is data The circuit is combiatioal (o memory) result is assiged a value i all cases of the if.. else block, always 117

118 always Blocks for Combiatioal Circuits A always block defies combiatioal logic if: All outputs are always (cotiuously) updated 1. All right-had side sigals are i the sesitivity list You ca use for short 2. All left-had side sigals get assiged i every possible coditio of if.. else ad case blocks It is easy to make mistakes ad uitetioally describe memorizig elemets (latches) Vivado will most likely war you. Make sure you check the warig messages Always blocks allow powerful combiatioal logic statemets if.. else case 118

119 Seuetial or Combiatioal? wire eable, data; reg out_a, out_b; (*) begi out_a = 1 b0; if(eable) begi out_a = data; out_b = data; ed ed No assigmet for ~eable wire eable, data; reg out_a, out_b; (data) begi out_a = 1 b0; out_b = 1 b0; if(eable) begi out_a = data; out_b = data; ed ed Not i the sesitivity list Seuetial Seuetial 119

120 The always Block is NOT Always Practical/Nice reg [31:0] result; wire [31:0] a, b, comb; wire sel, (a, b, sel) // trigger with a, b, sel if (sel) result <= a; // result is a else result <= b; // result is b assig comb = sel? a : b; Both statemets describe the same multiplexer I this case, the always block is more work 120

121 always Block for Case Statemets (Hady!) module sevesegmet (iput [3:0] data, output reg [6:0] segmets); ( * ) case (data) // * is short for all sigals // case statemet 4'd0: segmets = 7'b111_1110; // whe data is 0 4'd1: segmets = 7'b011_0000; // whe data is 1 4'd2: segmets = 7'b110_1101; 4'd3: segmets = 7'b111_1001; 4'd4: segmets = 7'b011_0011; 4'd5: segmets = 7'b101_1011; // etc etc default: segmets = 7'b000_0000; // reuired edcase edmodule 121

122 Summary: always Block If.. else ca oly be used i always blocks The always block is combiatioal oly if all regs withi the block are always assiged to a sigal Use the default case to make sure you do ot forget a uimplemeted case, which may otherwise result i a latch Use casex statemet to be able to check for do t cares 122

123 No-Blockig ad Blockig Assigmets No-blockig (<=) Blockig (=) (a) (a) begi begi a <= 2 b01; a = 2 b01; b <= a; // a is 2 b01 // all assigmets are made here b = a; // b is ot (yet) 2 b01 // b is ow 2 b01 as well ed ed All assigmets are made at the ed of the block Each assigmet is made immediately All assigmets are made i parallel, process flow is ot-blocked Process waits util the first assigmet is complete, it blocks progress 123

124 Why use (No)-Blockig Statemets There are techical reasos why both are reuired It is out of the scope of this course to discuss these Blockig statemets allow seuetial descriptios More like a programmig laguage If the sesitivity list is correct, blocks with o-blockig statemets will always evaluate to the same result This may reuire some additioal iteratios 124

125 Example: Blockig Assigmet Assume all iputs are iitially 0 ( * ) begi p = a ^ b ; // p = 0 g = a & b ; // g = 0 s = p ^ ci ; // s = 0 cout = g (p & ci) ; // cout = 0 ed If a chages to 1 All values are updated i order 125

126 The Same Example: No-Blockig Assigmet Assume all iputs are iitially 0 ( * ) begi p <= a ^ b ; // p = 0 g <= a & b ; // g = 0 s <= p ^ ci ; // s = 0 cout <= g (p & ci) ; // cout = 0 ed If a chages to 1 All assigmets are cocurret Whe s is beig assiged, p is still 0 126

127 The Same Example: No-Blockig Assigmet After the first iteratio, p has chaged to 1 as well ( * ) begi p <= a ^ b ; // p = 1 g <= a & b ; // g = 0 s <= p ^ ci ; // s = 0 cout <= g (p & ci) ; // cout = 0 ed Sice there is a chage i p, the process triggers agai This time s is calculated with p=1 127

128 Rules for Sigal Assigmet Use clk) ad o-blockig assigmets (<=) to model sychroous seuetial logic (posedge clk) <= d; // o-blockig Use cotiuous assigmets (assig) to model simple combiatioal logic. assig y = a & b; 128

129 Rules for Sigal Assigmet (Cot.) Use (*) ad blockig assigmets (=) to model more complicated combiatioal logic. You caot make assigmets to the same sigal i more tha oe always block or i a cotiuous assigmet (*) a = b; (*) a = b; (*) a = c; assig a = c; 129

130 Recall: Fiite State Machies (FSMs) Each FSM cosists of three separate parts: ext state logic state register output logic CLK iputs M ext state logic k ext state k state output logic N outputs state register 130

131 Recall: Fiite State Machies (FSMs) Comprise Seuetial circuits State register(s) Store the curret state ad Load the ext state at the clock edge Next State CLK S S Curret State Combiatioal Circuits Next state logic Determies what the ext state will be Next State Logic C L Next State Output logic Geerates the outputs Output Logic C L Outputs 131

132 FSM Example 1: Divide the Clock Freuecy by 3 The output Y is HIGH for oe clock cycle out of every 3. I other words, the output divides the freuecy of the clock by

133 Implemetig FSM Example 1: Defiitios module divideby3fsm (iput clk, iput reset, output ); reg [1:0] state, extstate; parameter S0 = 2'b00; parameter S1 = 2'b01; parameter S2 = 2'b10; We defie state ad extstate as 2-bit reg The parameter descriptios are optioal, it makes readig easier 133

134 Implemetig FSM Example 1: State Register CLK S S Next State Curret State // state register (posedge clk, posedge reset) if (reset) state <= S0; else state <= extstate; This part defies the state register (memorizig process) Sesitive to oly clk, reset I this example, reset is active whe it is 1 (active-high) 134

135 Implemetig FSM Example 1: Next State Logic iputs CLK M ext k ext k state logic state state // ext state logic (*) case (state) S0: extstate = S1; S1: extstate = S2; S2: extstate = S0; default: extstate = S0; edcase 135

136 Implemetig FSM Example 1: Output Logic CLK iputs M ext state logic k ext state k state output logic N outputs // output logic assig = (state == S0); I this example, output depeds oly o state Moore type FSM 136

137 Implemetatio of FSM Example 1 module divideby3fsm (iput clk, iput reset, output ); reg [1:0] state, extstate; parameter S0 = 2'b00; parameter S1 = 2'b01; parameter S2 = 2'b10; (posedge clk, posedge reset) // state register if (reset) state <= S0; else state <= extstate; (*) case (state) S0: extstate = S1; S1: extstate = S2; S2: extstate = S0; default: extstate = S0; edcase assig = (state == S0); edmodule // ext state logic // output logic 137

138 FSM Example 2: Smilig Sail Alyssa P. Hacker has a sail that crawls dow a paper tape with 1 s ad 0 s o it. The sail smiles wheever the last four digits it has crawled over are Desig Moore ad Mealy FSMs of the sail s brai. Moore Mealy 138

139 We did ot cover the followig. They are for your preparatio. 139

140 Implemetig FSM Example 2: Defiitios module SmiligSail (iput clk, iput reset, iput umber, output smile); reg [1:0] state, extstate; parameter S0 = 2'b00; parameter S1 = 2'b01; parameter S2 = 2'b10; parameter S3 = 2 b11; umber/smile 140

141 Implemetig FSM Example 2: State Register // state register (posedge clk, posedge reset) if (reset) state <= S0; else state <= extstate; This part defies the state register (memorizig process) Sesitive to oly clk, reset I this example reset is active whe 1 (active-high) 141

142 Implemetig FSM Example 2: Next State Logic // ext state logic (*) case (state) S0: if (umber) extstate = S1; else extstate = S0; S1: if (umber) extstate = S2; else extstate = S0; S2: if (umber) extstate = S2; else extstate = S3; S3: if (umber) extstate = S1; else extstate = S0; default: extstate = S0; edcase 142

143 Implemetig FSM Example 2: Output Logic // output logic assig smile = (umber & state == S3); I this example, output depeds o state ad iput Mealy type FSM We used a simple combiatioal assigmet 143

144 Implemetatio of FSM Example 2 module SmiligSail (iput clk, reg iput reset, iput umber, output smile); [1:0] state, extstate; parameter S0 = 2'b00; parameter S1 = 2'b01; parameter S2 = 2'b10; parameter S3 = 2 b11; // state register (posedge clk, posedge reset) if (reset) state <= S0; else state <= extstate; (*) // ext state logic case (state) S0: if (umber) extstate = S1; else extstate = S0; S1: if (umber) extstate = S2; else extstate = S0; S2: if (umber) extstate = S2; else extstate = S3; S3: if (umber) extstate = S1; else extstate = S0; default: extstate = S0; edcase // output logic assig smile = (umber & state==s3); edmodule 144

145 What Did We Lear? Basics of defiig seuetial circuits i Verilog The always statemet Needed for defiig memorizig elemets (flip-flops, latches) Ca also be used to defie combiatioal circuits Blockig vs No-blockig statemets = assigs the value immediately <= assigs the value at the ed of the block Writig FSMs Next state logic State assigmet Output logic 145

146 Next Lecture: Timig ad Verificatio 146

147 Desig of Digital Circuits Lecture 7: Seuetial Logic Desig Prof. Our Mutlu ETH Zurich Sprig March 2018

148 Backup Slides Differet types of flip flops 148

149 The D Flip-Flop 149

150 Eabled Flip-Flops Iputs: CLK, D, EN The eable iput (EN) cotrols whe ew data (D) is stored Fuctio: EN = 1: D passes through to Q o the clock edge EN = 0: the flip-flop retais its previous state Iteral Circuit Symbol EN CLK D 0 1 D Q Q D EN Q 150

151 Resettable Flip-Flop Iputs: CLK, D, Reset The Reset is used to set the output to 0. Fuctio: Reset = 1: Q is forced to 0 Reset = 0: the flip-flop behaves like a ordiary D flip-flop Symbols D Reset Q r 151

152 Resettable Flip-Flops Two types: Sychroous: resets at the clock edge oly Asychroous: resets immediately whe Reset = 1 Asychroously resettable flip-flop reuires chagig the iteral circuitry of the flip-flop (see Exercise 3.10) Sychroously resettable flip-flop? Iteral Circuit CLK D Reset D Q Q 152

153 Settable Flip-Flop Iputs: CLK, D, Set Fuctio: Set = 1: Q is set to 1 Set = 0: the flip-flop behaves like a ordiary D flip-flop Symbols D Set Q s 153

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Consists of: State register Stores current state Loads next state at clock edge Combinational logic Computes the next state Computes the outputs S S Next State CLK Current State

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

Sequences A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence

Sequences A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence Sequeces A sequece of umbers is a fuctio whose domai is the positive itegers. We ca see that the sequece 1, 1, 2, 2, 3, 3,... is a fuctio from the positive itegers whe we write the first sequece elemet

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1> Chapter 3 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 3 Chapter 3 :: Topics Introduction Latches and Flip-Flops Synchronous Logic Design Finite

More information

Design of Digital Circuits Lecture 8: Timing and Verification. Minesh Patel Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 8: Timing and Verification. Minesh Patel Prof. Onur Mutlu ETH Zurich Spring March 2018 Desig of Digital Circuits Lecture 8: Timig ad Verificatio Miesh Patel Prof. Our Mutlu ETH Zurich Sprig 2018 16 March 2018 Readigs Please study Slides 102-120 from Lecture 6 o your ow This week Seuetial

More information

Annotations to the assignments and the solution sheet. Note the following points

Annotations to the assignments and the solution sheet. Note the following points WS 26/7 Trial Exam: Fudametals of Computer Egieerig Seite: Aotatios to the assigmets ad the solutio sheet This is a multiple choice examiatio, that meas: Solutio approaches are ot assessed. For each sub-task

More information

A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence

A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence Sequeces A sequece of umbers is a fuctio whose domai is the positive itegers. We ca see that the sequece,, 2, 2, 3, 3,... is a fuctio from the positive itegers whe we write the first sequece elemet as

More information

Lecture 9: Hierarchy Theorems

Lecture 9: Hierarchy Theorems IAS/PCMI Summer Sessio 2000 Clay Mathematics Udergraduate Program Basic Course o Computatioal Complexity Lecture 9: Hierarchy Theorems David Mix Barrigto ad Alexis Maciel July 27, 2000 Most of this lecture

More information

Infinite Sequences and Series

Infinite Sequences and Series Chapter 6 Ifiite Sequeces ad Series 6.1 Ifiite Sequeces 6.1.1 Elemetary Cocepts Simply speakig, a sequece is a ordered list of umbers writte: {a 1, a 2, a 3,...a, a +1,...} where the elemets a i represet

More information

DESCRIPTION OF THE SYSTEM

DESCRIPTION OF THE SYSTEM Sychroous-Serial Iterface for absolute Ecoders SSI 1060 BE 10 / 01 DESCRIPTION OF THE SYSTEM TWK-ELEKTRONIK GmbH D-001 Düsseldorf PB 1006 Heirichstr. Tel +9/11/6067 Fax +9/11/6770 e-mail: ifo@twk.de Page

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Chapter 3 Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu http://www.ee.unlv.edu/~b1morris/cpe1/ CPE1: Digital Logic Design I Section 14: Dr. Morris Sequential Logic Design Chapter 3 Chapter

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

It is always the case that unions, intersections, complements, and set differences are preserved by the inverse image of a function.

It is always the case that unions, intersections, complements, and set differences are preserved by the inverse image of a function. MATH 532 Measurable Fuctios Dr. Neal, WKU Throughout, let ( X, F, µ) be a measure space ad let (!, F, P ) deote the special case of a probability space. We shall ow begi to study real-valued fuctios defied

More information

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution EEL5: Discrete-Time Sigals ad Systems. Itroductio I this set of otes, we begi our mathematical treatmet of discrete-time s. As show i Figure, a discrete-time operates or trasforms some iput sequece x [

More information

IP Reference guide for integer programming formulations.

IP Reference guide for integer programming formulations. IP Referece guide for iteger programmig formulatios. by James B. Orli for 15.053 ad 15.058 This documet is iteded as a compact (or relatively compact) guide to the formulatio of iteger programs. For more

More information

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits Chapter 3 Chapter 3 :: Topics igital esign and Computer Architecture, 2 nd Edition avid Money Harris and Sarah L. Harris Introduction Latches and Flip Flops Synchronous Logic esign Finite State Machines

More information

4.3 Growth Rates of Solutions to Recurrences

4.3 Growth Rates of Solutions to Recurrences 4.3. GROWTH RATES OF SOLUTIONS TO RECURRENCES 81 4.3 Growth Rates of Solutios to Recurreces 4.3.1 Divide ad Coquer Algorithms Oe of the most basic ad powerful algorithmic techiques is divide ad coquer.

More information

Disjoint set (Union-Find)

Disjoint set (Union-Find) CS124 Lecture 7 Fall 2018 Disjoit set (Uio-Fid) For Kruskal s algorithm for the miimum spaig tree problem, we foud that we eeded a data structure for maitaiig a collectio of disjoit sets. That is, we eed

More information

t distribution [34] : used to test a mean against an hypothesized value (H 0 : µ = µ 0 ) or the difference

t distribution [34] : used to test a mean against an hypothesized value (H 0 : µ = µ 0 ) or the difference EXST30 Backgroud material Page From the textbook The Statistical Sleuth Mea [0]: I your text the word mea deotes a populatio mea (µ) while the work average deotes a sample average ( ). Variace [0]: The

More information

Lesson 10: Limits and Continuity

Lesson 10: Limits and Continuity www.scimsacademy.com Lesso 10: Limits ad Cotiuity SCIMS Academy 1 Limit of a fuctio The cocept of limit of a fuctio is cetral to all other cocepts i calculus (like cotiuity, derivative, defiite itegrals

More information

OPTIMAL ALGORITHMS -- SUPPLEMENTAL NOTES

OPTIMAL ALGORITHMS -- SUPPLEMENTAL NOTES OPTIMAL ALGORITHMS -- SUPPLEMENTAL NOTES Peter M. Maurer Why Hashig is θ(). As i biary search, hashig assumes that keys are stored i a array which is idexed by a iteger. However, hashig attempts to bypass

More information

Sequences. Notation. Convergence of a Sequence

Sequences. Notation. Convergence of a Sequence Sequeces A sequece is essetially just a list. Defiitio (Sequece of Real Numbers). A sequece of real umbers is a fuctio Z (, ) R for some real umber. Do t let the descriptio of the domai cofuse you; it

More information

6 Integers Modulo n. integer k can be written as k = qn + r, with q,r, 0 r b. So any integer.

6 Integers Modulo n. integer k can be written as k = qn + r, with q,r, 0 r b. So any integer. 6 Itegers Modulo I Example 2.3(e), we have defied the cogruece of two itegers a,b with respect to a modulus. Let us recall that a b (mod ) meas a b. We have proved that cogruece is a equivalece relatio

More information

Lecture 10: Universal coding and prediction

Lecture 10: Universal coding and prediction 0-704: Iformatio Processig ad Learig Sprig 0 Lecture 0: Uiversal codig ad predictio Lecturer: Aarti Sigh Scribes: Georg M. Goerg Disclaimer: These otes have ot bee subjected to the usual scrutiy reserved

More information

1 Hash tables. 1.1 Implementation

1 Hash tables. 1.1 Implementation Lecture 8 Hash Tables, Uiversal Hash Fuctios, Balls ad Bis Scribes: Luke Johsto, Moses Charikar, G. Valiat Date: Oct 18, 2017 Adapted From Virgiia Williams lecture otes 1 Hash tables A hash table is a

More information

6.3 Testing Series With Positive Terms

6.3 Testing Series With Positive Terms 6.3. TESTING SERIES WITH POSITIVE TERMS 307 6.3 Testig Series With Positive Terms 6.3. Review of what is kow up to ow I theory, testig a series a i for covergece amouts to fidig the i= sequece of partial

More information

Math 10A final exam, December 16, 2016

Math 10A final exam, December 16, 2016 Please put away all books, calculators, cell phoes ad other devices. You may cosult a sigle two-sided sheet of otes. Please write carefully ad clearly, USING WORDS (ot just symbols). Remember that the

More information

Lecture 5: April 17, 2013

Lecture 5: April 17, 2013 TTIC/CMSC 350 Mathematical Toolkit Sprig 203 Madhur Tulsiai Lecture 5: April 7, 203 Scribe: Somaye Hashemifar Cheroff bouds recap We recall the Cheroff/Hoeffdig bouds we derived i the last lecture idepedet

More information

Sequences, Mathematical Induction, and Recursion. CSE 2353 Discrete Computational Structures Spring 2018

Sequences, Mathematical Induction, and Recursion. CSE 2353 Discrete Computational Structures Spring 2018 CSE 353 Discrete Computatioal Structures Sprig 08 Sequeces, Mathematical Iductio, ad Recursio (Chapter 5, Epp) Note: some course slides adopted from publisher-provided material Overview May mathematical

More information

NUMERICAL METHODS FOR SOLVING EQUATIONS

NUMERICAL METHODS FOR SOLVING EQUATIONS Mathematics Revisio Guides Numerical Methods for Solvig Equatios Page 1 of 11 M.K. HOME TUITION Mathematics Revisio Guides Level: GCSE Higher Tier NUMERICAL METHODS FOR SOLVING EQUATIONS Versio:. Date:

More information

7. Modern Techniques. Data Encryption Standard (DES)

7. Modern Techniques. Data Encryption Standard (DES) 7. Moder Techiques. Data Ecryptio Stadard (DES) The objective of this chapter is to illustrate the priciples of moder covetioal ecryptio. For this purpose, we focus o the most widely used covetioal ecryptio

More information

THE ASYMPTOTIC COMPLEXITY OF MATRIX REDUCTION OVER FINITE FIELDS

THE ASYMPTOTIC COMPLEXITY OF MATRIX REDUCTION OVER FINITE FIELDS THE ASYMPTOTIC COMPLEXITY OF MATRIX REDUCTION OVER FINITE FIELDS DEMETRES CHRISTOFIDES Abstract. Cosider a ivertible matrix over some field. The Gauss-Jorda elimiatio reduces this matrix to the idetity

More information

CHAPTER 10 INFINITE SEQUENCES AND SERIES

CHAPTER 10 INFINITE SEQUENCES AND SERIES CHAPTER 10 INFINITE SEQUENCES AND SERIES 10.1 Sequeces 10.2 Ifiite Series 10.3 The Itegral Tests 10.4 Compariso Tests 10.5 The Ratio ad Root Tests 10.6 Alteratig Series: Absolute ad Coditioal Covergece

More information

CHAPTER I: Vector Spaces

CHAPTER I: Vector Spaces CHAPTER I: Vector Spaces Sectio 1: Itroductio ad Examples This first chapter is largely a review of topics you probably saw i your liear algebra course. So why cover it? (1) Not everyoe remembers everythig

More information

Test One (Answer Key)

Test One (Answer Key) CS395/Ma395 (Sprig 2005) Test Oe Name: Page 1 Test Oe (Aswer Key) CS395/Ma395: Aalysis of Algorithms This is a closed book, closed otes, 70 miute examiatio. It is worth 100 poits. There are twelve (12)

More information

SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES

SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES Read Sectio 1.5 (pages 5 9) Overview I Sectio 1.5 we lear to work with summatio otatio ad formulas. We will also itroduce a brief overview of sequeces,

More information

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design MOS Digital Itegrated ircuits Aalysis ad Desig hapter 9 Dyamic Logic ircuits 1 Itroductio Static logic circuit Output correspodig to the iput voltage after a certai time delay Preservig its output level

More information

Design and Analysis of Algorithms

Design and Analysis of Algorithms Desig ad Aalysis of Algorithms Probabilistic aalysis ad Radomized algorithms Referece: CLRS Chapter 5 Topics: Hirig problem Idicatio radom variables Radomized algorithms Huo Hogwei 1 The hirig problem

More information

Computability and computational complexity

Computability and computational complexity Computability ad computatioal complexity Lecture 4: Uiversal Turig machies. Udecidability Io Petre Computer Sciece, Åbo Akademi Uiversity Fall 2015 http://users.abo.fi/ipetre/computability/ 21. toukokuu

More information

Math 113 Exam 3 Practice

Math 113 Exam 3 Practice Math Exam Practice Exam will cover.-.9. This sheet has three sectios. The first sectio will remid you about techiques ad formulas that you should kow. The secod gives a umber of practice questios for you

More information

CSI 2101 Discrete Structures Winter Homework Assignment #4 (100 points, weight 5%) Due: Thursday, April 5, at 1:00pm (in lecture)

CSI 2101 Discrete Structures Winter Homework Assignment #4 (100 points, weight 5%) Due: Thursday, April 5, at 1:00pm (in lecture) CSI 101 Discrete Structures Witer 01 Prof. Lucia Moura Uiversity of Ottawa Homework Assigmet #4 (100 poits, weight %) Due: Thursday, April, at 1:00pm (i lecture) Program verificatio, Recurrece Relatios

More information

MCT242: Electronic Instrumentation Lecture 2: Instrumentation Definitions

MCT242: Electronic Instrumentation Lecture 2: Instrumentation Definitions Faculty of Egieerig MCT242: Electroic Istrumetatio Lecture 2: Istrumetatio Defiitios Overview Measuremet Error Accuracy Precisio ad Mea Resolutio Mea Variace ad Stadard deviatio Fiesse Sesitivity Rage

More information

Linear Programming and the Simplex Method

Linear Programming and the Simplex Method Liear Programmig ad the Simplex ethod Abstract This article is a itroductio to Liear Programmig ad usig Simplex method for solvig LP problems i primal form. What is Liear Programmig? Liear Programmig is

More information

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc)

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc) Classificatio of problem & problem solvig strategies classificatio of time complexities (liear, arithmic etc) Problem subdivisio Divide ad Coquer strategy. Asymptotic otatios, lower boud ad upper boud:

More information

Axioms of Measure Theory

Axioms of Measure Theory MATH 532 Axioms of Measure Theory Dr. Neal, WKU I. The Space Throughout the course, we shall let X deote a geeric o-empty set. I geeral, we shall ot assume that ay algebraic structure exists o X so that

More information

Lecture 11: Pseudorandom functions

Lecture 11: Pseudorandom functions COM S 6830 Cryptography Oct 1, 2009 Istructor: Rafael Pass 1 Recap Lecture 11: Pseudoradom fuctios Scribe: Stefao Ermo Defiitio 1 (Ge, Ec, Dec) is a sigle message secure ecryptio scheme if for all uppt

More information

MA131 - Analysis 1. Workbook 3 Sequences II

MA131 - Analysis 1. Workbook 3 Sequences II MA3 - Aalysis Workbook 3 Sequeces II Autum 2004 Cotets 2.8 Coverget Sequeces........................ 2.9 Algebra of Limits......................... 2 2.0 Further Useful Results........................

More information

PRACTICE PROBLEMS FOR THE FINAL

PRACTICE PROBLEMS FOR THE FINAL PRACTICE PROBLEMS FOR THE FINAL Math 36Q Fall 25 Professor Hoh Below is a list of practice questios for the Fial Exam. I would suggest also goig over the practice problems ad exams for Exam ad Exam 2 to

More information

Statistics 511 Additional Materials

Statistics 511 Additional Materials Cofidece Itervals o mu Statistics 511 Additioal Materials This topic officially moves us from probability to statistics. We begi to discuss makig ifereces about the populatio. Oe way to differetiate probability

More information

Chapter 6 Infinite Series

Chapter 6 Infinite Series Chapter 6 Ifiite Series I the previous chapter we cosidered itegrals which were improper i the sese that the iterval of itegratio was ubouded. I this chapter we are goig to discuss a topic which is somewhat

More information

The Maximum-Likelihood Decoding Performance of Error-Correcting Codes

The Maximum-Likelihood Decoding Performance of Error-Correcting Codes The Maximum-Lielihood Decodig Performace of Error-Correctig Codes Hery D. Pfister ECE Departmet Texas A&M Uiversity August 27th, 2007 (rev. 0) November 2st, 203 (rev. ) Performace of Codes. Notatio X,

More information

Quantum Information & Quantum Computation

Quantum Information & Quantum Computation CS9A, Sprig 5: Quatum Iformatio & Quatum Computatio Wim va Dam Egieerig, Room 59 vadam@cs http://www.cs.ucsb.edu/~vadam/teachig/cs9/ Admiistrivia Do the exercises. Aswers will be posted at the ed of the

More information

Math F215: Induction April 7, 2013

Math F215: Induction April 7, 2013 Math F25: Iductio April 7, 203 Iductio is used to prove that a collectio of statemets P(k) depedig o k N are all true. A statemet is simply a mathematical phrase that must be either true or false. Here

More information

A Simple Optimum-Time FSSP Algorithm for Multi-Dimensional Cellular Automata

A Simple Optimum-Time FSSP Algorithm for Multi-Dimensional Cellular Automata A Simple Optimum-Time FSSP Algorithm for Multi-Dimesioal Cellular Automata HIROSHI UMEO Uiversity of Osaka Electro-Commuicatio umeo@cyt.osakac.ac.jp KINUO NISHIDE Uiversity of Osaka Electro-Commuicatio

More information

ELEC1200: A System View of Communications: from Signals to Packets Lecture 3

ELEC1200: A System View of Communications: from Signals to Packets Lecture 3 ELEC2: A System View of Commuicatios: from Sigals to Packets Lecture 3 Commuicatio chaels Discrete time Chael Modelig the chael Liear Time Ivariat Systems Step Respose Respose to sigle bit Respose to geeral

More information

STA Learning Objectives. Population Proportions. Module 10 Comparing Two Proportions. Upon completing this module, you should be able to:

STA Learning Objectives. Population Proportions. Module 10 Comparing Two Proportions. Upon completing this module, you should be able to: STA 2023 Module 10 Comparig Two Proportios Learig Objectives Upo completig this module, you should be able to: 1. Perform large-sample ifereces (hypothesis test ad cofidece itervals) to compare two populatio

More information

PH 425 Quantum Measurement and Spin Winter SPINS Lab 1

PH 425 Quantum Measurement and Spin Winter SPINS Lab 1 PH 425 Quatum Measuremet ad Spi Witer 23 SPIS Lab Measure the spi projectio S z alog the z-axis This is the experimet that is ready to go whe you start the program, as show below Each atom is measured

More information

Advanced Course of Algorithm Design and Analysis

Advanced Course of Algorithm Design and Analysis Differet complexity measures Advaced Course of Algorithm Desig ad Aalysis Asymptotic complexity Big-Oh otatio Properties of O otatio Aalysis of simple algorithms A algorithm may may have differet executio

More information

INTEGRATION BY PARTS (TABLE METHOD)

INTEGRATION BY PARTS (TABLE METHOD) INTEGRATION BY PARTS (TABLE METHOD) Suppose you wat to evaluate cos d usig itegratio by parts. Usig the u dv otatio, we get So, u dv d cos du d v si cos d si si d or si si d We see that it is ecessary

More information

Exponents. Learning Objectives. Pre-Activity

Exponents. Learning Objectives. Pre-Activity Sectio. Pre-Activity Preparatio Epoets A Chai Letter Chai letters are geerated every day. If you sed a chai letter to three frieds ad they each sed it o to three frieds, who each sed it o to three frieds,

More information

Time-Domain Representations of LTI Systems

Time-Domain Representations of LTI Systems 2.1 Itroductio Objectives: 1. Impulse resposes of LTI systems 2. Liear costat-coefficiets differetial or differece equatios of LTI systems 3. Bloc diagram represetatios of LTI systems 4. State-variable

More information

Lecture 2: April 3, 2013

Lecture 2: April 3, 2013 TTIC/CMSC 350 Mathematical Toolkit Sprig 203 Madhur Tulsiai Lecture 2: April 3, 203 Scribe: Shubhedu Trivedi Coi tosses cotiued We retur to the coi tossig example from the last lecture agai: Example. Give,

More information

Riemann Sums y = f (x)

Riemann Sums y = f (x) Riema Sums Recall that we have previously discussed the area problem I its simplest form we ca state it this way: The Area Problem Let f be a cotiuous, o-egative fuctio o the closed iterval [a, b] Fid

More information

MAT1026 Calculus II Basic Convergence Tests for Series

MAT1026 Calculus II Basic Convergence Tests for Series MAT026 Calculus II Basic Covergece Tests for Series Egi MERMUT 202.03.08 Dokuz Eylül Uiversity Faculty of Sciece Departmet of Mathematics İzmir/TURKEY Cotets Mootoe Covergece Theorem 2 2 Series of Real

More information

CS284A: Representations and Algorithms in Molecular Biology

CS284A: Representations and Algorithms in Molecular Biology CS284A: Represetatios ad Algorithms i Molecular Biology Scribe Notes o Lectures 3 & 4: Motif Discovery via Eumeratio & Motif Represetatio Usig Positio Weight Matrix Joshua Gervi Based o presetatios by

More information

Optimally Sparse SVMs

Optimally Sparse SVMs A. Proof of Lemma 3. We here prove a lower boud o the umber of support vectors to achieve geeralizatio bouds of the form which we cosider. Importatly, this result holds ot oly for liear classifiers, but

More information

Analysis of Algorithms. Introduction. Contents

Analysis of Algorithms. Introduction. Contents Itroductio The focus of this module is mathematical aspects of algorithms. Our mai focus is aalysis of algorithms, which meas evaluatig efficiecy of algorithms by aalytical ad mathematical methods. We

More information

Axis Aligned Ellipsoid

Axis Aligned Ellipsoid Machie Learig for Data Sciece CS 4786) Lecture 6,7 & 8: Ellipsoidal Clusterig, Gaussia Mixture Models ad Geeral Mixture Models The text i black outlies high level ideas. The text i blue provides simple

More information

10-701/ Machine Learning Mid-term Exam Solution

10-701/ Machine Learning Mid-term Exam Solution 0-70/5-78 Machie Learig Mid-term Exam Solutio Your Name: Your Adrew ID: True or False (Give oe setece explaatio) (20%). (F) For a cotiuous radom variable x ad its probability distributio fuctio p(x), it

More information

Finite Automata. Reading: Chapter 2

Finite Automata. Reading: Chapter 2 Fiite Automata Readig: Chapter 2 Fiite Automato (FA) Iformally, a state diagram that comprehesively captures all possible states ad trasitios that a machie ca take while respodig to a stream or sequece

More information

Theorem: Let A n n. In this case that A does reduce to I, we search for A 1 as the solution matrix X to the matrix equation A X = I i.e.

Theorem: Let A n n. In this case that A does reduce to I, we search for A 1 as the solution matrix X to the matrix equation A X = I i.e. Theorem: Let A be a square matrix The A has a iverse matrix if ad oly if its reduced row echelo form is the idetity I this case the algorithm illustrated o the previous page will always yield the iverse

More information

Notes for Lecture 5. 1 Grover Search. 1.1 The Setting. 1.2 Motivation. Lecture 5 (September 26, 2018)

Notes for Lecture 5. 1 Grover Search. 1.1 The Setting. 1.2 Motivation. Lecture 5 (September 26, 2018) COS 597A: Quatum Cryptography Lecture 5 (September 6, 08) Lecturer: Mark Zhadry Priceto Uiversity Scribe: Fermi Ma Notes for Lecture 5 Today we ll move o from the slightly cotrived applicatios of quatum

More information

HOMEWORK 2 SOLUTIONS

HOMEWORK 2 SOLUTIONS HOMEWORK SOLUTIONS CSE 55 RANDOMIZED AND APPROXIMATION ALGORITHMS 1. Questio 1. a) The larger the value of k is, the smaller the expected umber of days util we get all the coupos we eed. I fact if = k

More information

Course Outline. Designing Control Systems. Proportional Controller. Amme 3500 : System Dynamics and Control. Root Locus. Dr. Stefan B.

Course Outline. Designing Control Systems. Proportional Controller. Amme 3500 : System Dynamics and Control. Root Locus. Dr. Stefan B. Amme 3500 : System Dyamics ad Cotrol Root Locus Course Outlie Week Date Cotet Assigmet Notes Mar Itroductio 8 Mar Frequecy Domai Modellig 3 5 Mar Trasiet Performace ad the s-plae 4 Mar Block Diagrams Assig

More information

Chapter 9 - CD companion 1. A Generic Implementation; The Common-Merge Amplifier. 1 τ is. ω ch. τ io

Chapter 9 - CD companion 1. A Generic Implementation; The Common-Merge Amplifier. 1 τ is. ω ch. τ io Chapter 9 - CD compaio CHAPTER NINE CD-9.2 CD-9.2. Stages With Voltage ad Curret Gai A Geeric Implemetatio; The Commo-Merge Amplifier The advaced method preseted i the text for approximatig cutoff frequecies

More information

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations ECE-S352 Itroductio to Digital Sigal Processig Lecture 3A Direct Solutio of Differece Equatios Discrete Time Systems Described by Differece Equatios Uit impulse (sample) respose h() of a DT system allows

More information

Hashing and Amortization

Hashing and Amortization Lecture Hashig ad Amortizatio Supplemetal readig i CLRS: Chapter ; Chapter 7 itro; Sectio 7.. Arrays ad Hashig Arrays are very useful. The items i a array are statically addressed, so that isertig, deletig,

More information

Optimization Methods: Linear Programming Applications Assignment Problem 1. Module 4 Lecture Notes 3. Assignment Problem

Optimization Methods: Linear Programming Applications Assignment Problem 1. Module 4 Lecture Notes 3. Assignment Problem Optimizatio Methods: Liear Programmig Applicatios Assigmet Problem Itroductio Module 4 Lecture Notes 3 Assigmet Problem I the previous lecture, we discussed about oe of the bech mark problems called trasportatio

More information

Structure of a Typical Digital System Data Inputs

Structure of a Typical Digital System Data Inputs ecture RT Desig Methodology Trasitio from the & Iterface to a Correspodig Block Diagram Structure of a Typical Digital System Data Iputs Datapath (Executio Uit) Data Outputs Cotrol Sigals Status Sigals

More information

ECEN 655: Advanced Channel Coding Spring Lecture 7 02/04/14. Belief propagation is exact on tree-structured factor graphs.

ECEN 655: Advanced Channel Coding Spring Lecture 7 02/04/14. Belief propagation is exact on tree-structured factor graphs. ECEN 655: Advaced Chael Codig Sprig 014 Prof. Hery Pfister Lecture 7 0/04/14 Scribe: Megke Lia 1 4-Cycles i Gallager s Esemble What we already kow: Belief propagatio is exact o tree-structured factor graphs.

More information

ARRANGEMENTS IN A CIRCLE

ARRANGEMENTS IN A CIRCLE ARRANGEMENTS IN A CIRCLE Whe objects are arraged i a circle, the total umber of arragemets is reduced. The arragemet of (say) four people i a lie is easy ad o problem (if they liste of course!!). With

More information

Fall 2013 MTH431/531 Real analysis Section Notes

Fall 2013 MTH431/531 Real analysis Section Notes Fall 013 MTH431/531 Real aalysis Sectio 8.1-8. Notes Yi Su 013.11.1 1. Defiitio of uiform covergece. We look at a sequece of fuctios f (x) ad study the coverget property. Notice we have two parameters

More information

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5 Sigals ad Systems Sigals ad Systems Sigals are variables that carry iformatio Systemstake sigals as iputs ad produce sigals as outputs The course deals with the passage of sigals through systems T-6.4

More information

Scheduling under Uncertainty using MILP Sensitivity Analysis

Scheduling under Uncertainty using MILP Sensitivity Analysis Schedulig uder Ucertaity usig MILP Sesitivity Aalysis M. Ierapetritou ad Zheya Jia Departmet of Chemical & Biochemical Egieerig Rutgers, the State Uiversity of New Jersey Piscataway, NJ Abstract The aim

More information

Properties of Regular Languages. Reading: Chapter 4

Properties of Regular Languages. Reading: Chapter 4 Properties of Regular Laguages Readig: Chapter 4 Topics ) How to prove whether a give laguage is regular or ot? 2) Closure properties of regular laguages 3) Miimizatio of DFAs 2 Some laguages are ot regular

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

The Binomial Theorem

The Binomial Theorem The Biomial Theorem Robert Marti Itroductio The Biomial Theorem is used to expad biomials, that is, brackets cosistig of two distict terms The formula for the Biomial Theorem is as follows: (a + b ( k

More information

Appendix: The Laplace Transform

Appendix: The Laplace Transform Appedix: The Laplace Trasform The Laplace trasform is a powerful method that ca be used to solve differetial equatio, ad other mathematical problems. Its stregth lies i the fact that it allows the trasformatio

More information

Some examples of vector spaces

Some examples of vector spaces Roberto s Notes o Liear Algebra Chapter 11: Vector spaces Sectio 2 Some examples of vector spaces What you eed to kow already: The te axioms eeded to idetify a vector space. What you ca lear here: Some

More information

Element sampling: Part 2

Element sampling: Part 2 Chapter 4 Elemet samplig: Part 2 4.1 Itroductio We ow cosider uequal probability samplig desigs which is very popular i practice. I the uequal probability samplig, we ca improve the efficiecy of the resultig

More information

Introduction to Signals and Systems, Part V: Lecture Summary

Introduction to Signals and Systems, Part V: Lecture Summary EEL33: Discrete-Time Sigals ad Systems Itroductio to Sigals ad Systems, Part V: Lecture Summary Itroductio to Sigals ad Systems, Part V: Lecture Summary So far we have oly looked at examples of o-recursive

More information

Section 6.4: Series. Section 6.4 Series 413

Section 6.4: Series. Section 6.4 Series 413 ectio 64 eries 4 ectio 64: eries A couple decides to start a college fud for their daughter They pla to ivest $50 i the fud each moth The fud pays 6% aual iterest, compouded mothly How much moey will they

More information

(A sequence also can be thought of as the list of function values attained for a function f :ℵ X, where f (n) = x n for n 1.) x 1 x N +k x N +4 x 3

(A sequence also can be thought of as the list of function values attained for a function f :ℵ X, where f (n) = x n for n 1.) x 1 x N +k x N +4 x 3 MATH 337 Sequeces Dr. Neal, WKU Let X be a metric space with distace fuctio d. We shall defie the geeral cocept of sequece ad limit i a metric space, the apply the results i particular to some special

More information