Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits

Size: px
Start display at page:

Download "Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits"

Transcription

1 Chapter 3 Chapter 3 :: Topics igital esign and Computer Architecture, 2 nd Edition avid Money Harris and Sarah L. Harris Introduction Latches and Flip Flops Synchronous Logic esign Finite State Machines Timing of Sequential Logic Parallelism Chapter 3 <> Chapter 3 <2> Introduction Outputs of sequential logic depend on current and prior input values it has memory. Some definitions: State: all the information about a circuit necessary to explain its future behavior Latches and flip flops: state elements that store one bit of state Synchronous sequential circuits: combinational logic followed by a bank of flip flops Sequential Circuits Give sequence to events Have memory (short-term) Use feedback from output to input to store information Chapter 3 <3> Chapter 3 <4>

2 State Elements The state of a circuit influences its future behavior State elements store state Bistable circuit S Latch Latch Flip-flop Bistable Circuit Fundamental building block of other state elements Two outputs:, No inputs I2 I I I2 Chapter 3 <5> Chapter 3 <6> Bistable Circuit Analysis S (Set/eset) Latch Consider the two possible cases: = : then =, = (consistent) I S Latch N = : then =, = (consistent) I2 I S N2 Consider the four possible cases: Stores bit of state in the state variable, (or ) But there are no inputs to control the state I2 S =, = S =, = S =, = S =, = Chapter 3 <7> Chapter 3 <8> 2

3 S Latch Analysis S Latch Analysis S =, = : then = and = S N N2 S =, = : then = prev S prev = prev = N N2 N N2 S S =, = : then = and = S N N2 S =, = : then =, = S N N2 Chapter 3 <9> Chapter 3 <> S Latch Analysis S Latch Symbol S =, = : then = prev Memory! S =, = : then =, = Invalid State NOT S prev = prev = S N N2 N N2 Chapter 3 <> S N N2 S stands for Set/eset Latch Stores one bit of state () Control what value is being stored with S, inputs S Latch Set: Make the output Symbol (S =, =, = ) eset: Make the output (S =, =, = ) S Chapter 3 <2> 3

4 Latch Latch Internal Circuit Two inputs:, : controls when the output changes (the data input): controls what the output changes to Function When =, passes through to (transparent) When =, holds its previous value (opaque) Avoids invalid case when NOT Latch Symbol X S S S Chapter 3 <3> Chapter 3 <4> Latch Internal Circuit Flip Flop X X S S S prev prev Inputs:, Function Samples on rising edge of When rises from to, passes through to Otherwise, holds its previous value changes only on rising edge of Called edge-triggered Activated on the clock edge Flip-Flop Symbols Chapter 3 <5> Chapter 3 <6> 4

5 Flip Flop Internal Circuit Latch vs. Flip Flop Two back-to-back latches (L and L2) controlled by complementary clocks When = L is transparent L2 is opaque passes through to N N When = L2 is transparent L is opaque N passes through to L L2 Thus, on the edge of the clock (when rises from ) passes through to (latch) (flop) Chapter 3 <7> Chapter 3 <8> Latch vs. Flip Flop egisters 3: 4 4 3: (latch) 2 2 (flop) 3 3 Chapter 3 <9> Chapter 3 <2> 5

6 Enabled Flip Flops Inputs:,, EN The enable input (EN) controls when new data () is stored Function EN = : passes through to on the clock edge EN = : the flip-flop retains its previous state EN Internal Circuit Symbol EN esettable Flip Flops Inputs:,, eset Function: eset = : is forced to eset = : flip-flop behaves as ordinary flip-flop eset Symbols r Chapter 3 <2> Chapter 3 <22> esettable Flip Flops Two types: Synchronous: resets at the clock edge only Asynchronous: resets immediately when eset = Asynchronously resettable flip-flop requires changing the internal circuitry of the flip-flop Synchronously resettable flip-flop? esettable Flip Flops Two types: Synchronous: resets at the clock edge only Asynchronous: resets immediately when eset = Asynchronously resettable flip-flop requires changing the internal circuitry of the flip-flop Synchronously resettable flip-flop? Internal Circuit eset Chapter 3 <23> Chapter 3 <24> 6

7 Settable Flip Flops Sequential Logic Inputs:,, Set Function: Set = : is set to Set = : the flip-flop behaves as ordinary flip-flop Symbols Sequential circuits: all circuits that aren t combinational A problematic circuit: X Y Z X Y Z time (ns) Set s Chapter 3 <25> Chapter 3 <26> Sequential Logic Synchronous Sequential Logic esign Sequential circuits: all circuits that aren t combinational A problematic circuit: X Y Z No inputs and -3 outputs Astable circuit, oscillates Period depends on inverter delay It has a cyclic path: output fed back to input X Y Z time (ns) Breaks cyclic paths by inserting registers egisters contain state of the system State changes at clock edge: system synchronized to the clock ules of synchronous sequential circuit composition: Every circuit element is either a register or a combinational circuit At least one circuit element is a register All registers receive the same clock signal Every cyclic path contains at least one register Two common synchronous sequential circuits Finite State Machines (FSMs) Pipelines Chapter 3 <27> Chapter 3 <28> 7

8 Finite State Machine (FSM) Finite State Machines (FSMs) Consists of: State register Stores current state Loads next state at clock edge Combinational logic Computes the next state Computes the outputs Next State Logic C L Next State Output Logic C L Next State Chapter 3 <29> S S Outputs Current State Next state determined by current state and inputs Two types of finite state machines differ in output logic: Moore FSM: outputs depend only on current state Mealy FSM: outputs depend on current state and inputs M inputs M inputs next state logic next state logic Moore FSM next k k state state Mealy FSM next k k state state output logic output logic N outputs N outputs Chapter 3 <3> FSM Example FSM Black Box Traffic light controller Traffic sensors:, (TUE when there s traffic) Lights:, Academic Labs Bravado Blvd. ining Hall Fields Ave. orms Inputs:, eset,, Outputs:, Traffic Light Controller eset Chapter 3 <3> Chapter 3 <32> 8

9 FSM State Transition iagram FSM State Transition iagram Moore FSM: outputs labeled in each state States: Circles eset Transitions: Arcs S : green Moore FSM: outputs labeled in each state States: Circles T eset A TA Transitions: Arcs S : green S : yellow S3 : yellow S2 : green Chapter 3 <33> Chapter 3 <34> FSM State Transition Table FSM State Transition Table Current State Next State Inputs S S' S X S X S X X S2 X S2 X S3 X X Current State Inputs Next State S S' S X S S X S S X X S2 S2 X S3 S2 X S2 S3 X X S Chapter 3 <35> Chapter 3 <36> 9

10 FSM Encoded State Transition Table FSM Encoded State Transition Table Current State Inputs Next State Current State Inputs Next State S S S' S' X X X X X X X X State Encoding S S S2 S3 S S S' S' X X X X X X X X State Encoding S S S2 S3 S' = S S S' = S S + S S Chapter 3 <37> Chapter 3 <38> FSM Output Table FSM Output Table Current State Outputs S S Output Encoding green yellow red Current State Outputs S S Output Encoding green yellow red = S = S S = S = S S Chapter 3 <39> Chapter 3 <4>

11 FSM Schematic: State egister FSM Schematic: Next State Logic S' S S' S S' r eset S state register S S S' r eset S inputs next state logic state register Chapter 3 <4> Chapter 3 <42> FSM Schematic: Output Logic FSM Timing iagram Cycle Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle S' S eset S' :?? S () S () S2 () S3 () S () S () S' r eset S S : : :?????? S () Green () ed () S () S2 () S3 () S () Yellow () ed () Green () Green () Yellow () ed () S S t (sec) eset TA inputs next state logic state register output logic outputs S : green S : yellow S3 : yellow S2 : green Chapter 3 <43> Chapter 3 <44>

12 FSM State Encoding Binary encoding: i.e., for four states,,,, One-hot encoding One state bit per state Only one state bit HIGH at once i.e., for 4 states,,,, equires more flip-flops Often next state and output logic is simpler Moore vs. Mealy FSM Alyssa P. Hacker has a snail that crawls down a paper tape with s and s on it. The snail smiles whenever the last two digits it has crawled over are. esign Moore and Mealy FSMs of the snail s brain. Chapter 3 <45> Chapter 3 <46> State Transition iagrams Moore FSM State Transition Table Moore FSM eset Current State Inputs Next State State Encoding S Mealy FSM eset / S S2 S S A S' S' S S S2 S / / S / Mealy FSM: arcs indicate input/output Chapter 3 <47> Chapter 3 <48> 2

13 Moore FSM State Transition Table Moore FSM Output Table Current State Inputs Next State S S A S' S' State Encoding S S S2 Current State Output S S Y Y = S S = S A S = A Chapter 3 <49> Chapter 3 <5> Moore FSM Output Table Mealy FSM State Transition & Output Table Current State Output S S Y Current State Input Next State Output S A S' Y State Encoding S S Y = S Chapter 3 <5> Chapter 3 <52> 3

14 Mealy FSM State Transition & Output Table Moore FSM Schematic Current State Input Next State Output A S A S' Y State Encoding S S' S Y S S' S r eset Chapter 3 <53> Chapter 3 <54> Mealy FSM Schematic Moore & Mealy Timing iagram A Cycle Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Cycle 8 Cycle 9 Cycle Cycle eset S' S Y A Moore Machine S?? S S S2 S S2 S S S2 S Y r eset Mealy Machine S?? S S S S S S S Y Chapter 3 <55> Chapter 3 <56> 4

15 Factoring State Machines Parade FSM Break complex FSMs into smaller interacting FSMs Example: Modify traffic light controller to have Parade Mode. Two more inputs: P, When P =, enter Parade Mode & Bravado Blvd light stays green When =, leave Parade Mode Unfactored FSM Factored FSM P P Controller FSM Mode FSM M Lights FSM Controller FSM Chapter 3 <57> Chapter 3 <58> Unfactored FSM Factored FSM P eset S : green P P P S3 : yellow P P P P S : yellow P S2 : green P P S4 : green S7 : yellow S5 : yellow S6 : green eset S : green S3 : yellow TA M M + Lights FSM S : yellow S2 : green eset S M: P P Mode FSM S M: Chapter 3 <59> Chapter 3 <6> 5

16 FSM esign Procedure Identify inputs and outputs Sketch state transition diagram Write state transition table Select state encodings For Moore machine: ewrite state transition table with state encodings Write output table For a Mealy machine: ewrite combined state transition and output table with state encodings Write Boolean equations for next state and output logic Sketch the circuit schematic Chapter 3 <6> Timing Flip-flop samples at clock edge must be stable when sampled Similar to a photograph, must be stable around clock edge If not, metastability can occur Chapter 3 <62> Input Timing Constraints Output Timing Constraints Setup time: t setup = time before clock edge data must be stable (i.e. not changing) Hold time: t hold = time after clock edge data must be stable Aperture time: t a = time around clock edge data must be stable (t a = t setup + t hold ) Propagation delay: t pcq = time after clock edge that the output is guaranteed to be stable (i.e., to stop changing) Contamination delay: t ccq = time after clock edge that might be unstable (i.e., start changing) t ccq t pcq t setup t hold t a Chapter 3 <63> Chapter 3 <64> 6

17 ynamic iscipline Synchronous sequential circuit inputs must be stable during aperture (setup and hold) time around clock edge Specifically, inputs must be stable at least t setup before the clock edge at least until t hold after the clock edge ynamic iscipline The delay between registers has a minimum and maximum delay, dependent on the delays of the circuit elements (a) C L T c 2 2 Chapter 3 <65> 2 (b) Chapter 3 <66> Setup Time Constraint epends on the maximum delay from register through combinational logic to 2 The input to register 2 must be stable at least t setup before clock edge 2 C L T c t pcq t pd t setup 2 2 T c Setup Time Constraint epends on the maximum delay from register through combinational logic to 2 The input to register 2 must be stable at least t setup before clock edge 2 C L T c t pcq t pd t setup 2 2 T c t pcq + t pd + t setup t pd Chapter 3 <67> Chapter 3 <68> 7

18 Setup Time Constraint epends on the maximum delay from register through combinational logic to 2 The input to register 2 must be stable at least t setup before clock edge 2 C L T c t pcq t pd t setup 2 2 T c t pcq + t pd + t setup t pd T c (t pcq + t setup ) Chapter 3 <69> Hold Time Constraint epends on the minimum delay from register through the combinational logic to 2 The input to register 2 must be stable for at least t hold after the clock edge 2 t ccq t cd t hold C L 2 2 t hold < Chapter 3 <7> Hold Time Constraint epends on the minimum delay from register through the combinational logic to 2 The input to register 2 must be stable for at least t hold after the clock edge 2 C L 2 2 t hold < t ccq + t cd t cd > Hold Time Constraint epends on the minimum delay from register through the combinational logic to 2 The input to register 2 must be stable for at least t hold after the clock edge 2 C L 2 2 t hold < t ccq + t cd t cd > t hold - t ccq t ccq t cd t ccq t cd t hold t hold Chapter 3 <7> Chapter 3 <72> 8

19 Timing Analysis Timing Analysis A Timing Characteristics t ccq = 3 ps A Timing Characteristics t ccq = 3 ps B C X' X t pcq = 5 ps t setup = 6 ps t hold = 7 ps B C X' X t pcq = 5 ps t setup = 6 ps t hold = 7 ps t pd = Y' Y per gate t pd t cd = 35 ps = 25 ps t pd = 3 x 35 ps = 5 ps Y' Y per gate t pd t cd = 35 ps = 25 ps t cd = t cd = 25 ps Setup time constraint: Hold time constraint: Setup time constraint: Hold time constraint: T c t ccq + t cd > t hold? T c ( ) ps = 25 ps t ccq + t cd > t hold? f c = f c = /T c = 4.65 GHz (3 + 25) ps > 7 ps? No! Chapter 3 <73> Chapter 3 <74> Timing Analysis Timing Analysis Add buffers to the short paths: Timing Characteristics Add buffers to the short paths: Timing Characteristics A t ccq t pcq = 3 ps = 5 ps A t ccq t pcq = 3 ps = 5 ps B t setup = 6 ps B t setup = 6 ps C X' X t hold = 7 ps C X' X t hold = 7 ps t pd = Y' Y per gate t pd t cd = 35 ps = 25 ps t pd = 3 x 35 ps = 5 ps Y' Y per gate t pd t cd = 35 ps = 25 ps t cd = t cd = 2 x 25 ps = 5 ps Setup time constraint: Hold time constraint: Setup time constraint: Hold time constraint: T c t ccq + t cd > t hold? T c ( ) ps = 25 ps t ccq + t cd > t hold? f c = f c = /T c = 4.65 GHz (3 + 5) ps > 7 ps? Yes! Chapter 3 <75> Chapter 3 <76> 9

20 Clock Skew The clock doesn t arrive at all registers at same time Skew: difference between two clock edges Perform worst case analysis to guarantee dynamic discipline is not violated for any register many registers in a system! t skew delay C L Setup Time Constraint with Skew In the worst case, 2 is earlier than C 2 L T c 2 T c 2 t pcq t pd t setup t skew Chapter 3 <77> Chapter 3 <78> Setup Time Constraint with Skew In the worst case, 2 is earlier than 2 C 2 L Setup Time Constraint with Skew In the worst case, 2 is earlier than 2 C 2 L T c 2 T c 2 2 T c t pcq + t pd + t setup + t skew t pd 2 T c t pcq + t pd + t setup + t skew t pd T c (t pcq + t setup + t skew ) 2 2 t pcq t pd t setup t skew t pcq t pd t setup t skew Chapter 3 <79> Chapter 3 <8> 2

21 Hold Time Constraint with Skew In the worst case, 2 is later than 2 C 2 L Hold Time Constraint with Skew In the worst case, 2 is later than 2 C 2 L t ccq + t cd > 2 t ccq + t cd > t hold + t skew t cd > 2 2 t ccq t cd t ccq t cd t skew t hold t skew t hold Chapter 3 <8> Chapter 3 <82> Hold Time Constraint with Skew Violating the ynamic iscipline In the worst case, 2 is later than 2 C 2 L 2 2 t ccq + t cd > t hold + t skew Asynchronous (for example, user) inputs might violate the dynamic discipline button t setup t hold t aperture Case I 2 t cd > t hold + t skew t ccq Case II t ccq t cd t skew t hold??? Case III Chapter 3 <83> Chapter 3 <84> 2

22 Metastability Bistable devices: two stable states, and a metastable state between them Flip-flop: two stable states ( and ) and one metastable state If flip-flop lands in metastable state, could stay there for an undetermined amount of time stable metastable stable Flip Flop Internals Flip-flop has feedback: if is somewhere between and, cross-coupled gates drive output to either rail ( or ) S N N2 Metastable signal: if it hasn t resolved to or If flip-flop input changes at random time, probability that output is metastable after waiting some time, t: P(t res > t) = (T /T c ) e -t/τ t res : time to resolve to or T, τ : properties of the circuit Chapter 3 <85> Chapter 3 <86> Metastability Intuitively: T /T c : probability input changes at a bad time (during aperture) P(t res > t) = (T /T c ) e -t/τ τ: time constant for how fast flip-flop moves away from metastability P(t res > t) = (T /T c ) e -t/τ Synchronizers Asynchronous inputs are inevitable (user interfaces, systems with different clocks interacting, etc.) Synchronizer goal: make the probability of failure (the output still being metastable) low Synchronizer cannot make the probability of failure In short, if flip-flop samples metastable input, if you wait long enough (t), the output will have resolved to or with high probability. SYNC Chapter 3 <87> Chapter 3 <88> 22

23 Synchronizer Internals Synchronizer: built with two back-to-back flip-flops Suppose is transitioning when sampled by F Internal signal 2 has (T c - t setup ) time to resolve to or 2 F T c F2 Synchronizer Probability of Failure For each sample, probability of failure is: P(failure) = (T /T c ) e -(T c - t setup )/τ F 2 T c F2 2 metastable 2 metastable t res t setup t pcq Chapter 3 <89> t res t setup t pcq Chapter 3 <9> Synchronizer Mean Time Between Failures If asynchronous input changes once per second, probability of failure per second is P(failure). If input changes N times per second, probability of failure per second is: P(failure)/second = (NT /T c ) e -(T c - t setup )/τ Synchronizer fails, on average, /[P(failure)/second] Called mean time between failures, MTBF: Example Synchronizer F 2 Suppose: T c = /5 MHz = 2 ns τ = 2 ps T = 5 ps t setup = ps N = events per second What is the probability of failure? MTBF? F2 MTBF = /[P(failure)/second] = (T c /NT ) e (T c -t setup )/τ Chapter 3 <9> Chapter 3 <92> 23

24 Example Synchronizer F 2 Suppose: T c = /5 MHz = 2 ns τ = 2 ps T = 5 ps t setup = ps N = events per second What is the probability of failure? MTBF? P(failure) = (5 ps/2 ns) e-(.9 ns)/2 ps = P(failure)/second = (5.6-6 ) = / second MTBF = /[P(failure)/second] 5 hours F2 Parallelism Two types of parallelism: Spatial parallelism duplicate hardware performs multiple tasks at once Temporal parallelism task is broken into multiple stages also called pipelining for example, an assembly line Chapter 3 <93> Chapter 3 <94> Parallelism efinitions Parallelism Example Token: Group of inputs processed to produce group of outputs Latency: Time for one token to pass from start to end Throughput: Number of tokens produced per unit time Ben Bitdiddle bakes cookies to celebrate traffic light controller installation 5 minutes to roll cookies 5 minutes to bake What is the latency and throughput without parallelism? Parallelism increases throughput Chapter 3 <95> Chapter 3 <96> 24

25 Parallelism Example Parallelism Example Ben Bitdiddle bakes cookies to celebrate traffic light controller installation 5 minutes to roll cookies 5 minutes to bake What is the latency and throughput without parallelism? Latency = = 2 minutes = /3 hour Throughput = tray/ /3 hour = 3 trays/hour What is the latency and throughput if Ben uses parallelism? Spatial parallelism: Ben asks Allysa P. Hacker to help, using her own oven Temporal parallelism: two stages: rolling and baking He uses two trays While first batch is baking, he rolls the second batch, etc. Chapter 3 <97> Chapter 3 <98> Spatial Parallelism Spatial Parallelism Latency: time to first tray Latency: time to first tray Spatial Parallelism Tray Tray 2 Tray 3 Tray 4 Ben Ben Alyssa Alyssa Ben 2 Ben 2 Alyssa 2 Alyssa 2 Time oll Bake Legend Spatial Parallelism Tray Tray 2 Tray 3 Tray 4 Ben Ben Alyssa Alyssa Ben 2 Ben 2 Alyssa 2 Alyssa 2 Time oll Bake Legend Latency =? Throughput =? Latency = = 2 minutes = /3 hour Throughput = 2 trays/ /3 hour = 6 trays/hour Chapter 3 <99> Chapter 3 <> 25

26 Temporal Parallelism Temporal Parallelism Latency: time to first tray Latency: time to first tray Temporal Parallelism Tray Tray 2 Tray 3 Ben Ben Ben 2 Ben 2 Ben 3 Ben 3 Time Temporal Parallelism Tray Tray 2 Tray 3 Ben Ben Ben 2 Ben 2 Ben 3 Ben 3 Time Latency =? Throughput =? Latency = = 2 minutes = /3 hour Throughput = trays/ /4 hour = 4 trays/hour Using both techniques, the throughput would be 8 trays/hour Chapter 3 <> Chapter 3 <2> 26

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1> Chapter 3 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 3 Chapter 3 :: Topics Introduction Latches and Flip-Flops Synchronous Logic Design Finite

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Chapter 3 Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu http://www.ee.unlv.edu/~b1morris/cpe1/ CPE1: Digital Logic Design I Section 14: Dr. Morris Sequential Logic Design Chapter 3 Chapter

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Consists of: State register Stores current state Loads next state at clock edge Combinational logic Computes the next state Computes the outputs S S Next State CLK Current State

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

ALU, Latches and Flip-Flops

ALU, Latches and Flip-Flops CSE14: Components and Design Techniques for Digital Systems ALU, Latches and Flip-Flops Tajana Simunic Rosing Where we are. Last time: ALUs Plan for today: ALU example, latches and flip flops Exam #1 grades

More information

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid Timing Constraints in Sequential esigns 63 Sources: TSR, Katz, Boriello & Vahid Where we are now. What we covered last time: FSMs What we ll do next: Timing constraints Upcoming deadlines: ZyBook today:

More information

Lecture 10: Sequential Networks: Timing and Retiming

Lecture 10: Sequential Networks: Timing and Retiming Lecture 10: Sequential Networks: Timing and Retiming CSE 140: Components and Design Techniques for Digital Systems Diba Mirza Dept. of Computer Science and Engineering University of California, San Diego

More information

Sequential Logic. Handouts: Lecture Slides Spring /27/01. L06 Sequential Logic 1

Sequential Logic. Handouts: Lecture Slides Spring /27/01. L06 Sequential Logic 1 Sequential Logic Handouts: Lecture Slides 6.4 - Spring 2 2/27/ L6 Sequential Logic Roadmap so far Fets & voltages Logic gates Combinational logic circuits Sequential Logic Voltage-based encoding V OL,

More information

CSE140: Design of Sequential Logic

CSE140: Design of Sequential Logic CSE4: Design of Sequential Logic Instructor: Mohsen Imani Flip Flops 2 Counter 3 Up counter 4 Up counter 5 FSM with JK-Flip Flop 6 State Table 7 State Table 8 Circuit Minimization 9 Circuit Timing Constraints

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Sequential Logic Stephen A. Edwards Columbia University Summer 2017 State-Holding Elements Bistable Elements S Latch Latch Positive-Edge-Triggered Flip-Flop Flip-Flop with

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm02 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Nov. 16 th In normal lecture (13:00-14:15)

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University it Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

5. Sequential Logic x Computation Structures Part 1 Digital Circuits. Copyright 2015 MIT EECS

5. Sequential Logic x Computation Structures Part 1 Digital Circuits. Copyright 2015 MIT EECS 5. Sequential Logic 6.004x Computation Structures Part 1 igital Circuits Copyright 2015 MIT EECS 6.004 Computation Structures L5: Sequential Logic, Slide #1 Something We Can t Build (Yet) What if you were

More information

Sequential Logic. Road Traveled So Far

Sequential Logic. Road Traveled So Far Comp 2 Spring 25 2/ Lecture page Sequential Logic These must be the slings and arrows of outrageous fortune ) Synchronous as an implementation of Sequential 2) Synchronous Timing Analysis 3) Single synchronous

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 18 CMOS Sequential Circuits - 1 guntzel@inf.ufsc.br

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1 Ch 7. Finite State Machines VII - Finite State Machines Contemporary Logic esign 1 Finite State Machines Sequential circuits primitive sequential elements combinational logic Models for representing sequential

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

6. Finite State Machines

6. Finite State Machines 6. Finite State Machines 6.4x Computation Structures Part Digital Circuits Copyright 25 MIT EECS 6.4 Computation Structures L6: Finite State Machines, Slide # Our New Machine Clock State Registers k Current

More information

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid CSE140: Components and Design Techniques for Digital Systems Midterm Information Instructor: Mohsen Imani Midterm Topics In general: everything that was covered in homework 1 and 2 and related lectures,

More information

Digital Electronics Sequential Logic

Digital Electronics Sequential Logic /5/27 igital Electronics Sequential Logic r. I. J. Wassell Sequential Logic The logic circuits discussed previously are known as combinational, in that the output depends only on the condition of the latest

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements:., Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University

More information

Digital Design. Sequential Logic

Digital Design. Sequential Logic Principles Of igital esign Chapter 6 Sequential Logic Chapter preview Boolean algebra 3 Logic gates and flip-flops 3 Finite-state machine 6 Logic design techniques 4 Sequential design techniques 6 Binary

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Memory Elements and other Circuits ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview.

More information

Sequential Logic Design: Controllers

Sequential Logic Design: Controllers Sequential Logic Design: Controllers Controller Design, Flip Flop Timing Copyright (c) 2012 Sean Key Standard Controller Architecture Controller A circuit that implements a FSM is referred to as a controller

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Homework #4. CSE 140 Summer Session Instructor: Mohsen Imani. Only a subset of questions will be graded

Homework #4. CSE 140 Summer Session Instructor: Mohsen Imani. Only a subset of questions will be graded Homework #4 CSE 140 Summer Session 2 2017 Instructor: Mohsen Imani Only a subset of questions will be graded 1) For the circuit shown below, do the following: a. Write a logic equation for the output P

More information

Lecture 10: Synchronous Sequential Circuits Design

Lecture 10: Synchronous Sequential Circuits Design Lecture 0: Synchronous Sequential Circuits Design. General Form Input Combinational Flip-flops Combinational Output Circuit Circuit Clock.. Moore type has outputs dependent only on the state, e.g. ripple

More information

Chapter 7 Sequential Logic

Chapter 7 Sequential Logic Chapter 7 Sequential Logic SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} March 28, 2016 Table of Contents 1 Intro 2 Bistable Circuits 3 FF Characteristics

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Lecture 9: Sequential Logic Circuits. Reading: CH 7

Lecture 9: Sequential Logic Circuits. Reading: CH 7 Lecture 9: Sequential Logic Circuits Reading: CH 7 Sequential Logic FSM (Finite-state machine) Inputs Current State COMBINATIONAL LOGIC Registers Outputs = f(current, inputs) Next state 2 storage mechanisms

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering SEQUENTIAL CIRCUITS: LATCHES Overview Circuits require memory to store intermediate

More information

Latches. October 13, 2003 Latches 1

Latches. October 13, 2003 Latches 1 Latches The second part of CS231 focuses on sequential circuits, where we add memory to the hardware that we ve already seen. Our schedule will be very similar to before: We first show how primitive memory

More information

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1 Memory Elements I CS31 Pascal Van Hentenryck CS031 Lecture 6 Page 1 Memory Elements (I) Combinational devices are good for computing Boolean functions pocket calculator Computers also need to remember

More information

EXPERIMENT Traffic Light Controller

EXPERIMENT Traffic Light Controller 11.1 Objectives EXPERIMENT 11 11. Traffic Light Controller Practice on the design of clocked sequential circuits. Applications of sequential circuits. 11.2 Overview In this lab you are going to develop

More information

Lecture 7: Sequential Networks

Lecture 7: Sequential Networks CSE 140: Components and Design Techniques for Digital Systems Lecture 7: Sequential Networks CK Cheng Dept. of Computer Science and Engineering University of California, San Diego 1 Part II: Sequential

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

Sequential logic and design

Sequential logic and design Principles Of Digital Design Sequential logic and design Analysis State-based (Moore) Input-based (Mealy) FSM definition Synthesis State minimization Encoding Optimization and timing Copyright 20-20by

More information

Topic 8: Sequential Circuits

Topic 8: Sequential Circuits Topic 8: Sequential Circuits Readings : Patterson & Hennesy, Appendix B.4 - B.6 Goals Basic Principles behind Memory Elements Clocks Applications of sequential circuits Introduction to the concept of the

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page 1 of 13 COE 202: Digital Logic Design (3-0-3) Term 112 (Spring 2012) Final

More information

CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013

CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013 CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013 Do not start the exam until you are told. Write your name and PID at the top of every page. Do not separate the pages. Turn off and

More information

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1 Sequential Circuits Circuits with state Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1 Combinational circuits A 0 A 1 A n-1. Sel lg(n) O Mux A B Comparator Result: LT,

More information

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1 Synchronous Sequential Circuit Design Dr. Ehab A. H. AL-Hialy Page Motivation Analysis of a few simple circuits Generalizes to Synchronous Sequential Circuits (SSC) Outputs are Function of State (and Inputs)

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Midterm Stephen A. Edwards Columbia University Spring 22 The Midterm 75 minutes 4 5 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

Sequential Logic Worksheet

Sequential Logic Worksheet Sequential Logic Worksheet Concept Inventory: Notes: D-latch & the Dynamic Discipline D-register Timing constraints for sequential circuits Set-up and hold times for sequential circuits 6.004 Worksheet

More information

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO UN IVERSIT Y O F CA LIFO RNI A AT BERKELEY BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO SAN TA BARBA RA S AN TA CRUZ De p a r tm en t of Ele ctr i ca l En gin e e rin g a

More information

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter Timing diagram of a DFF Lab 3 Gate Timing difference timing for difference kind of gate, cost dependence (1) Setup Time = t2-t1 (2) Propagation

More information

Digital Design 2010 DE2 1

Digital Design 2010 DE2 1 1 Underviser: D. M. Akbar Hussain Litteratur: Digital Design Principles & Practices 4 th Edition by yj John F. Wakerly 2 DE2 1 3 4 DE2 2 To enable students to apply analysis, synthesis and implementation

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN 14:332:231 IGITL LOGI ESIGN Ivan Marsic, Rutgers University Electrical & omputer Engineering all 2013 Lecture #17: locked Synchronous -Machine nalysis locked Synchronous Sequential ircuits lso known as

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

FYSE420 DIGITAL ELECTRONICS

FYSE420 DIGITAL ELECTRONICS FYSE42 IGITAL ELECTRONICS Lecture 4 [] [2] [3] IGITAL LOGIC CIRCUIT ANALYSIS & ESIGN Nelson, Nagle, Irvin, Carrol ISBN -3-463894-8 IGITAL ESIGN Morris Mano Fourth edition ISBN -3-98924-3 igital esign Principles

More information

Metastability. Introduction. Metastability. in Altera Devices

Metastability. Introduction. Metastability. in Altera Devices in Altera Devices May 1999, ver. 4 Application Note 42 Introduction The output of an edge-triggered flipflop has two valid states: high and low. To ensure reliable operation, designs must meet the flipflop

More information

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Computers also need devices capable of Storing data and information Performing mathematical operations on such data Sequential Machines Introduction Logic devices examined so far Combinational Output function of input only Output valid as long as input true Change input change output Computers also need devices capable

More information

EE141- Spring 2007 Digital Integrated Circuits

EE141- Spring 2007 Digital Integrated Circuits EE141- Spring 27 igital Integrated Circuits Lecture 19 Sequential Circuits 1 Administrative Stuff Project Ph. 2 due Tu. 5pm 24 Cory box + email ee141- project@bwrc.eecs.berkeley.edu Hw 8 Posts this Fr.,

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines 1 Clocks A microprocessor is composed of many different circuits that are operating simultaneously if each

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

Digital Logic Design - Chapter 4

Digital Logic Design - Chapter 4 Digital Logic Design - Chapter 4 1. Analyze the latch circuit shown below by obtaining timing diagram for the circuit; include propagation delays. Y This circuit has two external input and one feedback

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Synchronous Logic. These must be the slings and arrows of outrageous fortune. Comp 411 Fall /18/06. L14 Synchronous Logic 1

Synchronous Logic. These must be the slings and arrows of outrageous fortune. Comp 411 Fall /18/06. L14 Synchronous Logic 1 Synchronous Logic 1) Sequential Logic 2) Synchronous Design 3) Synchronous Timing Analysis 4) Single Clock Design 5) Finite State Machines 6) Turing Machines 7) What it means to be Computable These must

More information

State and Finite State Machines

State and Finite State Machines State and Finite State Machines See P&H Appendix C.7. C.8, C.10, C.11 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

Introduction to Digital Logic

Introduction to Digital Logic Introduction to Digital Logic Lecture 17: Latches Flip-Flops Problem w/ Bistables Output should have been at end of sequence Problem: Glitch was remembered Need some way to ignore inputs until they are

More information

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop EE210: Switching Systems Lecture 14: State Tables, Diagrams, Latches, and Flip Flop Prof. YingLi Tian Nov. 6, 2017 Department of Electrical Engineering The City College of New York The City University

More information

EET 310 Flip-Flops 11/17/2011 1

EET 310 Flip-Flops 11/17/2011 1 EET 310 Flip-Flops 11/17/2011 1 FF s and some Definitions Clock Input: FF s are controlled by a trigger or Clock signal. All FF s have a clock input. If a device which attempts to do a FF s task does not

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Synchronous Sequential Circuit

Synchronous Sequential Circuit Synchronous Sequential Circuit The change of internal state occurs in response to the synchronized clock pulses. Data are read during the clock pulse (e.g. rising-edge triggered) It is supposed to wait

More information

Sequential vs. Combinational

Sequential vs. Combinational Sequential Circuits Sequential vs. Combinational Combinational Logic: Output depends only on current input TV channel selector (-9) inputs system outputs Sequential Logic: Output depends not only on current

More information

I. Motivation & Examples

I. Motivation & Examples I. Motivation & Examples Output depends on current input and past history of inputs. State embodies all the information about the past needed to predict current output based on current input. State variables,

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

ENEL Digital Circuits Final Examination

ENEL Digital Circuits Final Examination Name: I#: Lecture Section: ENEL 353 - igital Circuits Final Examination Lecture sections : N. R. Bartley, MWF : :5, ENC 24 2: S. A. Norman, MWF : :5, ST 45 Wednesday, ecember 7, 24 Time: 7: PM : PM Locations:

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

State & Finite State Machines

State & Finite State Machines State & Finite State Machines Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University See P&H Appendix C.7. C.8, C.10, C.11 Stateful Components Until now is combinatorial logic Output

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines Reminder: midterm on Tue 2/28 will cover Chapters 1-3, App A, B if you understand all slides, assignments,

More information

Sequential Circuit Design

Sequential Circuit Design Sequential Circuit esign esign Procedure. Specification 2. Formulation Obtain a state diagram or state table 3. State Assignment Assign binary codes to the states 4. Flip-Flop Input Equation etermination

More information

State & Finite State Machines

State & Finite State Machines State & Finite State Machines Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University See P&H Appendix C.7. C.8, C.10, C.11 Big Picture: Building a Processor memory inst register file

More information

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Clock Strategy. VLSI System Design NCKUEE-KJLEE Clock Strategy Clocked Systems Latch and Flip-flops System timing Clock skew High speed latch design Phase locked loop ynamic logic Multiple phase Clock distribution Clocked Systems Most VLSI systems are

More information

University of Minnesota Department of Electrical and Computer Engineering

University of Minnesota Department of Electrical and Computer Engineering University of Minnesota Department of Electrical and Computer Engineering EE2301 Fall 2008 Introduction to Digital System Design L. L. Kinney Final Eam (Closed Book) Solutions Please enter your name, ID

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Synchronous Sequential Circuits Basic Design Steps CprE 281: Digital Logic Iowa State University, Ames,

More information

EEE2135 Digital Logic Design

EEE2135 Digital Logic Design EEE2135 Digital Logic Design Chapter 7. Sequential Circuits Design 서강대학교 전자공학과 1. Model of Sequential Circuits 1) Sequential vs. Combinational Circuits a. Sequential circuits: Outputs depend on both the

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Indian Institute of Technology Jodhpur, Year 2017-2018 Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

14.1. Unit 14. State Machine Design

14.1. Unit 14. State Machine Design 4. Unit 4 State Machine Design 4.2 Outcomes I can create a state diagram to solve a sequential problem I can implement a working state machine given a state diagram STATE MACHINES OVERVIEW 4.3 4.4 Review

More information

Sequential Circuits Sequential circuits combinational circuits state gate delay

Sequential Circuits Sequential circuits combinational circuits state gate delay Sequential Circuits Sequential circuits are those with memory, also called feedback. In this, they differ from combinational circuits, which have no memory. The stable output of a combinational circuit

More information

Stop Watch (System Controller Approach)

Stop Watch (System Controller Approach) Stop Watch (System Controller Approach) Problem Design a stop watch that can measure times taken for two events Inputs CLK = 6 Hz RESET: Asynchronously reset everything X: comes from push button First

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information