Chapter 9 Computer Design Basics

Size: px
Start display at page:

Download "Chapter 9 Computer Design Basics"

Transcription

1 Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths

2 Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol Word Part 2 A Simple Computer Istructio Set Architecture (ISA) Sigle-Cycle Hardwired Cotrol Istructio Decoder Sample Istructios Sigle Cycle Computer Issues 2

3 Itroductio Computer Specificatio Istructio Set Architecture (ISA) - the specificatio of a computer's appearace to a programmer at its lowest level. Computer Architecture - a high-level descriptio of the hardware implemetig the computer derived from the ISA + additioal specificatios such as speed, cost, ad reliability. 3

4 Itroductio (cotiued) Simple computer architecture decomposed ito: Datapath for performig operatios. Cotrol uit for cotrollig datapath operatios. 4

5 Datapath ad Cotrol Datapath - performs data trasfer ad processig operatios. Cotrol Uit - Determies the eablig ad sequecig of the operatios. Cotrol iputs Cotrol uit The cotrol uit receives: Exteral cotrol iputs Status sigals Cotrol sigals Status sigals Cotrol outputs Data iputs Datapath The cotrol uit seds: Cotrol sigals Cotrol outputs Describe properties of the state of the datapath Data outputs 5

6 A datapath is specified by: A set of registers. The microoperatios performed o the data stored i the registers. A cotrol iterface: sigals comig ito datapath. 6

7 Datapaths Guidig priciples for basic datapaths: The set of registers Collectio of idividual registers. A set of registers with commo access resources called a register file. A combiatio of the above. Microoperatio implemetatio Oe or more shared resources for implemetig microoperatios: Buses - shared trasfer paths. Arithmetic-Logic Uit (ALU) - shared resource for implemetig arithmetic ad logic microoperatios. Shifter - shared resource for implemetig shift microoperatios. 7

8 Datapath Example Four parallel-load registers. Two mux-based register selectors. Register destiatio decoder. Mux B for exteral costat iput. Buses A ad B with exteral address ad data outputs. ALU ad Shifter with Mux F for output select. Mux D for exteral data iput. Logic for geeratig status bits V, C, N, Z. Write D data Load eable MD select 0 1 MUX D Bus D 8 V C N Z Load Load Load Load G select 4 Zero Detect MF select R0 R1 R2 R3 Decoder D address 2 Costat i Destiatio select MB select Bus A 1 0 MUX B 0 1 MUX F F Bus B 2 2 A data A B H select A B 2 B S 2:0 C i Arithmetic/logic uit (ALU) G A address A select 0 1 MUX 2 3 Fuctio uit Register file S 0 I R Shifter I L 0 H B select B address 0 1 MUX 2 3 B data Address Out Data Out Data I

9 Datapath Example: Performig a Microoperatio Microoperatio: R0 R1 + R2 Apply 01 to A select to place cotets of R1 oto Bus A. Apply 10 to B select to place cotets of R2 oto B data ad apply 0 to MB select to place B data o Bus B. Apply 0010 to G select to perform additio G = Bus A + Bus B Apply 0 to MF select ad 0 to MD select to place the value of G oto BUS D. Apply 00 to Destiatio select to eable the Load iput to R0. Apply 1 to Load Eable to force the Load iput to R0 to 1 so that R0 is loaded o the clock pulse (ot show) The overall microoperatio requires 1 clock cycle. Write D data Load eable MD select 0 1 MUX D Bus D 9 V C N Z Load Load Load Load G select 4 Zero Detect MF select R0 R1 R2 R3 Decoder D address 2 Costat i Destiatio select MB select Bus A 1 0 MUX B 0 1 MUX F F Bus B 2 2 A data A B H select A B 2 B S 2:0 C i Arithmetic/logic uit (ALU) G A address A select 0 1 MUX 2 3 Fuctio uit Register file S 0 I R Shifter I L 0 H B select B address 0 1 MUX 2 3 B data Address Out Data Out Data I

10 Datapath Example: Key Cotrol Actios for Microoperatio Alteratives Perform a shift microoperatio apply 1 to MF select Use a costat i a microoperatio usig Bus B apply 1 to MB select Provide a address ad data for a memory or output write microoperatio apply 0 to Load eable to prevet register loadig Provide a address ad obtai data for a memory or output read microoperatio apply 1 to MD select For some of the above, other cotrol sigals become do't cares Write D data Load eable MD select 0 1 MUX D Bus D 10 V C N Z Load Load Load Load G select 4 Zero Detect MF select R0 R1 R2 R3 Decoder D address 2 Costat i Destiatio select MB select Bus A 1 0 MUX B 0 1 MUX F F Bus B 2 2 A data A B H select A B 2 B S 2:0 C i Arithmetic/logic uit (ALU) G A address A select 0 1 MUX 2 3 Fuctio uit Register file S 0 I R Shifter I L 0 H B select B address 0 1 MUX 2 3 B data Address Out Data Out Data I

11 Arithmetic Logic Uit (ALU) We ow deal with detailed desig of typical ALUs ad shifters. Decompose the ALU ito: A arithmetic circuit: A -bit parallel adder. A block of logic that selects four choices for the B iput to the adder. A logic circuit: Gates to implemet the basic logic operatios. A selector to select which logic operatio to perform. A selector to pick betwee the two circuits. 11

12 -Bit ALU Block Diagram Operatio withi arithmetic or logic Arithmetic or Logic 12

13 ALU 3 steps: 1. Desig arithmetic sectio. 2. Desig logic sectio. 3. Combie both. 13

14 Arithmetic Circuit Desig There are oly four fuctios of B to select as Y i G = A + Y + C i : Y All 0s B B All 1s C i = 0 G = A G = A + B G = A + B G = A 1 C i = 1 G = A + 1 G = A + B + 1 G = A + B + 1 G = A C i A X B S 0 S 1 B iput logic Y -bit parallel adder G = X 1 + Y Y 1 C + i C i C out 14

15 Addig selectio codes to the fuctios of B: 15

16 Parallel Adder We will deal with the desig of ALU bit by bit. 1 bit of ALU = 1 stage of ALU. We desig 1 stage ad repeat it for the rest of the stages. 16

17 Arithmetic Circuit Desig (cotiued) 1 stage of arithmetic sectio of ALU: 17

18 1 Stage of Arithmetic Sectio of ALU S 0 S 1 C i A i B i X i Y i G i C i+1 Yi = B i S 0 + B i S 1 18

19 Arithmetic Sectio of 4-bit ALU 19

20 Logic Circuit Bitwise operatios: AND, OR, XOR, NOT. Use logic gates ad MUX. Oe stage of Logic circuit: 20

21 Combiig Arithmetic ad Logic Sectios 1 stage of arithmetic + 1 stage of logic + 2-to-1 MUX = 1 stage of ALU. A ew sigal S 2 performs the arithmetic/logic selectio The select sigal eterig the LSB of the arithmetic circuit, C i, is coected to the least sigificat selectio iput for the logic circuit, S 0. C i C i C i + 1 A i B i S 0 S 1 A i B i S 0 S 1 Oe stage of arithmetic circuit 0 2-to-1 MUX G i A i 1 S C i B i S 0 Oe stage of logic circuit S 1 Chapter 9 Part 1 S 2 IT321 21

22 Detailed Stage of ALU S 0 S 1 C i A i B i X i Y i C i C i to-1 MUX G i 1 S S 2 22

23 Fuctio Table for ALU 23

24 Shift Operatio Shift right ad shift left. Could be implemeted usig a bidirectioal shift register with parallel load. 1. Load data from Bus to register. 2. Shift. 3. Retur data to Bus. Sequetial. Requires 3 clock pulses. Alterative solutio: use a combiatioal circuit. Use MUXs. Requires 1 clock pulse. 24

25 Combiatioal Shifter Parameters Directio: Left. Right. Number of positios: Sigle bit. Multiple bits. Fillig of vacat positios: May optios depedig o istructio set. Here, will provide iput lies or zero fill. 25

26 4-Bit Basic Left/Right Shifter Serial output L B 3 B 2 B 1 B 0 I R Serial output R I L S M U X S M U X S M U X S M U X S 2 H 3 H 2 H 1 H 0 Serial Iputs (value depeds o shift type): I R for right shift I L for left shift Serial Outputs R for right shift (Same as MSB iput) L for left shift (Same as LSB iput) Shift Fuctios: (S 1, S 0 ) = 00 Pass B uchaged 01 Right shift 10 Left shift 11 Uused 26

27 Datapath Represetatio 1. The registers, ad the multiplexer, decoder, ad eable hardware for accessig them become a register file. 2. The ALU, shifter, Mux F ad status hardware become a fuctio uit. 3. The remaiig muxes ad buses which hadle data trasfers are at the ew level of the hierarchy. Costat i MB select FS V C N Z m m 4 D data Write D address m 2 x Register file A address A data A Bus A B address B data Fuctio uit F 1 0 MUX B Bus B B m Address out Data out Data i MD select 0 1 MUX D 27

28 Simplifyig the Data Path Represetatio Write D data Load eable V C N Z Load Load Load Load G select 4 Zero Detect MF select R0 R1 R2 R3 Decoder D address 2 Costat i Destiatio select MB select Bus A 1 0 MUX B 0 1 MUX F F Bus B 2 2 A data A B H select A B 2 B S 2:0 C i Arithmetic/logic uit (ALU) G A address A select 0 1 MUX 2 3 S 0 I R Shifter I L 0 H Fuctio uit B select B address 0 1 MUX 2 3 Register file B data Address Out Data Out Data I Costat i MB select MD select 0 1 MUX D Chapter 9 Part 1 Bus D IT FS V C N Z m m 4 MD select D data Write D address m 2 x Register file A address A data A Bus A B address B data Fuctio uit F 0 1 MUX D 1 0 MUX B Bus B B m Address out Data out Data i

29 Datapath Represetatio (cotiued) I the register file: Multiplexer select iputs become A address ad B address. Decoder iput becomes D address. Multiplexer outputs become A data ad B data. Iput data to the registers becomes D data. Load eable becomes write. The register file ow appears like a memory based o clocked flip-flops (the clock is ot show). The fuctio uit labelig is quite straightforward except for FS bits: Defie the cotrol sigals to the fuctio uit: MF (1 bit): ALU or Shifter. G (4 bits): Operatio i ALU: S 2, S 1, S 0, ad C i. H (2 bits): Trasfer, shift left or shift right. Costat i MB select 29 FS V C N Z m m 4 MD select D data Write D address m 2 x Register file A address A data A Bus A B address B data Fuctio uit F 0 1 MUX D 1 0 MUX B Bus B B m Address out Data out Data i

30 Defiitio of Fuctio Uit Select (FS) Codes G Select, H Select, ad MF i T of FS Codes Boolea Equatios: MF = FS 3 FS 2 G 3 = FS 3 G 2 = FS 2 G 1 = FS 1 G 0 = FS 0 H 1 = FS 1 H 0 = FS 0 30

31 The Cotrol Word The datapath has may cotrol iputs. The sigals drivig these iputs ca be defied ad orgaized ito a cotrol word. To execute a microistructio, we apply cotrol word values for a clock cycle. Addresses for the data read from register file. Fuctio performed. Addresses for the data writte to register file. Exteral data. 31

32 The Cotrol Word Fields D A AA BA M B FS M D R W Cotrol word Fields DA D Address AA A Address BA B Address MB Mux B FS Fuctio Select MD Mux D RW Register Write 3 bits each. Meas we have up to 8 registers. 2 d parameter to fuctio from register (0) or a costat (1). Load to register exteral data or result of fuctio. 32

33 Cotrol Word Block Diagram R W 0 Write D data D A D address 8 x Register file AA A address A data B address B data BA Costat i MB 6 Bus A 1 0 MUX B Bus B Address out Data out A B Cotrol word V C N Z Fuctio uit 5 4 FS 3 2 Data i 0 1 MD 1 MUX D Bus D 33

34 Cotrol Word Ecodig Ecodig of Cotrol W D A, AA, B A MB FS MD R W Fuctio Code Fuctio Code Fuctio Code Fuctio Code Fuctio Code R Register 0 F A 0000 Fuctio 0 No write 0 R Costat 1 F A Data I 1 Write 1 R F A + B 0010 R F A + B R F A + B 0100 R F A + B R F A R F A 0111 F A B 1000 F A B 1001 F A B 1010 F A 1011 F B 1100 F sr B 1101 F sl B

35 Example Microoperatios for the Datapath - Symbolic & Biary Represetatio Micr o- op eratio D A A A B A M B F S M D R W R 1 R 2 R 3 R 4 s l R6 R 7 R R 1 R Data out R 3 R 4 D ata i R 5 0 R1 R2 R3 Register F = A + B + 1 Fuctio Write R 4 R 6 Register F = sl B Fuctio Write 100 xxx R 7 R 7 F = A + 1 Fuctio Write xxx x R 1 R 0 Costat F = A + B Fuctio Write xxx R 3 Register No Write xxx xxx xxxx x 0 R 4 Data i Write 100 xxx xxx x xxxx 1 1 R 5 R 0 R 0 Register F = A B Fuctio Write

36 Biary Represetatio 36

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio

More information

Fig. 7-6 Single Bus versus Dedicated Multiplexers

Fig. 7-6 Single Bus versus Dedicated Multiplexers 7- Select SSS2 LLL2 LLL2 S 2 to MUX R R Select S 2 to MUX R S S 3 to MUX 2 us R S 2 to MUX R2 R2 (a) Dedicated multiplexers (b) Sigle us Fig. 7-6 Sigle us versus Dedicated Multiplexers 2 Pretice Hall,

More information

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect.

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect. 9- Write D data Load eable A address A select B address B select Load R 2 2 Load Load R R2 UX 2 3 UX 2 3 2 3 Decoder D address 2 Costat i Destiatio select 28 Pearso Educatio, Ic.. orris ao & Charles R.

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

Generic datapath. Generic datapath architecture. Register file. Register file. Calcolatori Elettronici e Sistemi Operativi.

Generic datapath. Generic datapath architecture. Register file. Register file. Calcolatori Elettronici e Sistemi Operativi. alcolatori Elettroici e Sistei Operativi Geeric datapath architecture Set of registers Geeric datapath uber, size. addressig R[0], R[1],... Set of operatios arithetic, logic, shift Addressig (uber of operads,

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007 rithmetic Circuits (Part I) Rady H. Katz Uiversity of Califoria, erkeley prig 27 Lecture #23: rithmetic Circuits- Motivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs

More information

Annotations to the assignments and the solution sheet. Note the following points

Annotations to the assignments and the solution sheet. Note the following points WS 26/7 Trial Exam: Fudametals of Computer Egieerig Seite: Aotatios to the assigmets ad the solutio sheet This is a multiple choice examiatio, that meas: Solutio approaches are ot assessed. For each sub-task

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units rithmetic rcuits (art I) Rady H. Katz Uiversity of Califoria, erkeley otivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs Doig thigs fast requires more logic

More information

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review Ref: Staley. White, pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review, IEEE SSP Magazie, July,

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

Structure of a Typical Digital System Data Inputs

Structure of a Typical Digital System Data Inputs ecture RT Desig Methodology Trasitio from the & Iterface to a Correspodig Block Diagram Structure of a Typical Digital System Data Iputs Datapath (Executio Uit) Data Outputs Cotrol Sigals Status Sigals

More information

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation Overview EEC 5 Compoets ad Desig Techiques for Digital ystems Lec 5 dditio, ubtractio, ad Negative Numbers David Culler Electrical Egieerig ad Computer cieces Uiversity of Califoria, erkeley Recall basic

More information

Internal Information Representation and Processing

Internal Information Representation and Processing Iteral Iformatio Represetatio ad Processig CSCE 16 - Fudametals of Computer Sciece Dr. Awad Khalil Computer Sciece & Egieerig Departmet The America Uiversity i Cairo Decimal Number System We are used to

More information

Design at the Register Transfer Level

Design at the Register Transfer Level Week-7 Design at the Register Transfer Level Algorithmic State Machines Algorithmic State Machine (ASM) q Our design methodologies do not scale well to real-world problems. q 232 - Logic Design / Algorithmic

More information

CS61C : Machine Structures

CS61C : Machine Structures CS 61C L15 Blocks (1) inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks Outline CL Blocks Latches & Flip Flops A Closer Look 2005-07-14 Andy Carle CS

More information

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5.

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5. CHPTER 9 2008 Pearson Education, Inc. 9-. log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C 7 Z = F 7 + F 6 + F 5 + F 4 + F 3 + F 2 + F + F 0 N = F 7 9-3.* = S + S = S + S S S S0 C in C 0 dder

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks 2005-07-14 CS 61C L15 Blocks (1) Andy Carle Outline CL Blocks Latches & Flip Flops A Closer Look CS

More information

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control Logic and Computer Design Fundamentals Chapter 8 Sequencing and Control Datapath and Control Datapath - performs data transfer and processing operations Control Unit - Determines enabling and sequencing

More information

Problem Set # 5 Solutions

Problem Set # 5 Solutions MIT./8.4/6.898/8.435 Quatum Iformatio Sciece I Fall, 00 Sam Ocko October 5, 00 Problem Set # 5 Solutios. Most uitar trasforms are hard to approimate. (a) We are dealig with boolea fuctios that take bits

More information

ECE 308 Discrete-Time Signals and Systems

ECE 308 Discrete-Time Signals and Systems ECE 38-5 ECE 38 Discrete-Time Sigals ad Systems Z. Aliyazicioglu Electrical ad Computer Egieerig Departmet Cal Poly Pomoa ECE 38-5 1 Additio, Multiplicatio, ad Scalig of Sequeces Amplitude Scalig: (A Costat

More information

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design MOS Digital Itegrated ircuits Aalysis ad Desig hapter 9 Dyamic Logic ircuits 1 Itroductio Static logic circuit Output correspodig to the iput voltage after a certai time delay Preservig its output level

More information

Problem 01 X Y. Logic Testbank. Problem. Problems. Problem 1:

Problem 01 X Y. Logic Testbank. Problem. Problems. Problem 1: This documet was created by me but that does t mea that I ow this cotet, so I m just sharig it like ayoe else would do, good luck - Sa'eed wad roblems roblem 01 roblem Logic Testbak roblem 1: 4-to-1 MUX,

More information

DESCRIPTION OF THE SYSTEM

DESCRIPTION OF THE SYSTEM Sychroous-Serial Iterface for absolute Ecoders SSI 1060 BE 10 / 01 DESCRIPTION OF THE SYSTEM TWK-ELEKTRONIK GmbH D-001 Düsseldorf PB 1006 Heirichstr. Tel +9/11/6067 Fax +9/11/6770 e-mail: ifo@twk.de Page

More information

7. Modern Techniques. Data Encryption Standard (DES)

7. Modern Techniques. Data Encryption Standard (DES) 7. Moder Techiques. Data Ecryptio Stadard (DES) The objective of this chapter is to illustrate the priciples of moder covetioal ecryptio. For this purpose, we focus o the most widely used covetioal ecryptio

More information

ITEC 360 Data Structures and Analysis of Algorithms Spring for n 1

ITEC 360 Data Structures and Analysis of Algorithms Spring for n 1 ITEC 360 Data Structures ad Aalysis of Algorithms Sprig 006 1. Prove that f () = 60 + 5 + 1 is Θ ( ). 60 + 5 + 1 60 + 5 + = 66 for 1 Take C 1 = 66 f () = 60 + 5 + 1 is O( ) Sice 60 + 5 + 1 60 for 1 If

More information

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018 Desig of Digital Circuits Lecture 7: Seuetial Logic Desig Prof. Our Mutlu ETH Zurich Sprig 2018 15 March 2018 Readigs Please study Slides 102-120 from Lecture 6 o your ow This week Seuetial Logic P&P Chapter

More information

Combinational & Sequential Logic

Combinational & Sequential Logic Combiatioal & Sequetial Logic ENGG23 2 d Semester, 27/8 Dr. Hade So Departmet of Electrical ad Electroic Egieerig Outlie Simplifig logic circuits Miimizatio b Boolea algebra Miimizatio b Karaugh maps Adders,

More information

DESIGN AND IMPLEMENTATION OF IMPROVED AREA EFFICIENT WEIGHTED MODULO 2N+1 ADDER DESIGN

DESIGN AND IMPLEMENTATION OF IMPROVED AREA EFFICIENT WEIGHTED MODULO 2N+1 ADDER DESIGN ARPN Joural of Egieerig ad Applied Scieces 2006-204 Asia Research Publishig Network (ARPN). All rights reserved. www.arpjourals.com DESIGN AND IMPLEMENTATION OF IMPROVED AREA EFFICIENT WEIGHTED MODULO

More information

U8L1: Sec Equations of Lines in R 2

U8L1: Sec Equations of Lines in R 2 MCVU U8L: Sec. 8.9. Equatios of Lies i R Review of Equatios of a Straight Lie (-D) Cosider the lie passig through A (-,) with slope, as show i the diagram below. I poit slope form, the equatio of the lie

More information

Principle Of Superposition

Principle Of Superposition ecture 5: PREIMINRY CONCEP O RUCUR NYI Priciple Of uperpositio Mathematically, the priciple of superpositio is stated as ( a ) G( a ) G( ) G a a or for a liear structural system, the respose at a give

More information

EE260: Digital Design, Spring n Binary logic and Gates n Boolean Algebra. n Basic Properties n Algebraic Manipulation

EE260: Digital Design, Spring n Binary logic and Gates n Boolean Algebra. n Basic Properties n Algebraic Manipulation EE26: Digital Desig, Sprig 28 2-Ja-8 EE 26: Itroductio to Digital Desig Boolea lgebra: Logic Represetatios ad alysis Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview Biary

More information

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/55 2012/6/11 Textbook: Digital Design, 4 th. Edition M. Morris Mano and Michael D. Ciletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter

More information

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations ECE-S352 Itroductio to Digital Sigal Processig Lecture 3A Direct Solutio of Differece Equatios Discrete Time Systems Described by Differece Equatios Uit impulse (sample) respose h() of a DT system allows

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

(3) If you replace row i of A by its sum with a multiple of another row, then the determinant is unchanged! Expand across the i th row:

(3) If you replace row i of A by its sum with a multiple of another row, then the determinant is unchanged! Expand across the i th row: Math 5-4 Tue Feb 4 Cotiue with sectio 36 Determiats The effective way to compute determiats for larger-sized matrices without lots of zeroes is to ot use the defiitio, but rather to use the followig facts,

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Simple Processor CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Digital

More information

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc)

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc) Classificatio of problem & problem solvig strategies classificatio of time complexities (liear, arithmic etc) Problem subdivisio Divide ad Coquer strategy. Asymptotic otatios, lower boud ad upper boud:

More information

CPS 104 Computer Organization and Programming Lecture 11: Gates, Buses, Latches. Robert Wagner

CPS 104 Computer Organization and Programming Lecture 11: Gates, Buses, Latches. Robert Wagner CPS 4 Computer Organization and Programming Lecture : Gates, Buses, Latches. Robert Wagner CPS4 GBL. RW Fall 2 Overview of Today s Lecture: The MIPS ALU Shifter The Tristate driver Bus Interconnections

More information

Module 5 EMBEDDED WAVELET CODING. Version 2 ECE IIT, Kharagpur

Module 5 EMBEDDED WAVELET CODING. Version 2 ECE IIT, Kharagpur Module 5 EMBEDDED WAVELET CODING Versio ECE IIT, Kharagpur Lesso 4 SPIHT algorithm Versio ECE IIT, Kharagpur Istructioal Objectives At the ed of this lesso, the studets should be able to:. State the limitatios

More information

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 9. Datapath Design Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 2, 2017 ECE Department, University of Texas at Austin

More information

Enrico Nardelli Logic Circuits and Computer Architecture

Enrico Nardelli Logic Circuits and Computer Architecture Enrico Nardelli Logic Circuits and Computer Architecture Appendix B The design of VS0: a very simple CPU Rev. 1.4 (2009-10) by Enrico Nardelli B - 1 Instruction set Just 4 instructions LOAD M - Copy into

More information

Advanced Course of Algorithm Design and Analysis

Advanced Course of Algorithm Design and Analysis Differet complexity measures Advaced Course of Algorithm Desig ad Aalysis Asymptotic complexity Big-Oh otatio Properties of O otatio Aalysis of simple algorithms A algorithm may may have differet executio

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Run-length & Entropy Coding. Redundancy Removal. Sampling. Quantization. Perform inverse operations at the receiver EEE

Run-length & Entropy Coding. Redundancy Removal. Sampling. Quantization. Perform inverse operations at the receiver EEE Geeral e Image Coder Structure Motio Video (s 1,s 2,t) or (s 1,s 2 ) Natural Image Samplig A form of data compressio; usually lossless, but ca be lossy Redudacy Removal Lossless compressio: predictive

More information

C/CS/Phys C191 Deutsch and Deutsch-Josza algorithms 10/20/07 Fall 2007 Lecture 17

C/CS/Phys C191 Deutsch and Deutsch-Josza algorithms 10/20/07 Fall 2007 Lecture 17 C/CS/Phs C9 Deutsch ad Deutsch-Josza algorithms 0/0/07 Fall 007 Lecture 7 Readigs Beeti et al., Ch. 3.9-3.9. Stolze ad Suter, Quatum Computig, Ch. 8. - 8..5) Nielse ad Chuag, Quatum Computatio ad Quatum

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Linear time invariant systems

Linear time invariant systems Liear time ivariat systems Alejadro Ribeiro Dept. of Electrical ad Systems Egieerig Uiversity of Pesylvaia aribeiro@seas.upe.edu http://www.seas.upe.edu/users/~aribeiro/ February 25, 2016 Sigal ad Iformatio

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

Cooperative Communication Fundamentals & Coding Techniques

Cooperative Communication Fundamentals & Coding Techniques 3 th ICACT Tutorial Cooperative commuicatio fudametals & codig techiques Cooperative Commuicatio Fudametals & Codig Techiques 0..4 Electroics ad Telecommuicatio Research Istitute Kiug Jug 3 th ICACT Tutorial

More information

EE 505. Lecture 29. ADC Design. Oversampled

EE 505. Lecture 29. ADC Design. Oversampled EE 505 Lecture 29 ADC Desig Oversampled Review from Last Lecture SAR ADC V IN Sample Hold C LK V REF DAC DAC Cotroller DAC Cotroller stores estimates of iput i Successive Approximatio Register (SAR) At

More information

SCALING OF NUMBERS IN RESIDUE ARITHMETIC WITH THE FLEXIBLE SELECTION OF SCALING FACTOR

SCALING OF NUMBERS IN RESIDUE ARITHMETIC WITH THE FLEXIBLE SELECTION OF SCALING FACTOR POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 76 Electrical Egieerig 203 Zeo ULMAN* Macie CZYŻAK* Robert SMYK* SCALING OF NUMBERS IN RESIDUE ARITHMETIC WITH THE FLEXIBLE SELECTION OF SCALING

More information

CMP 334: Seventh Class

CMP 334: Seventh Class CMP 334: Seventh Class Performance HW 5 solution Averages and weighted averages (review) Amdahl's law Ripple-carry adder circuits Binary addition Half-adder circuits Full-adder circuits Subtraction, negative

More information

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution EEL5: Discrete-Time Sigals ad Systems. Itroductio I this set of otes, we begi our mathematical treatmet of discrete-time s. As show i Figure, a discrete-time operates or trasforms some iput sequece x [

More information

Numerical Methods in Fourier Series Applications

Numerical Methods in Fourier Series Applications Numerical Methods i Fourier Series Applicatios Recall that the basic relatios i usig the Trigoometric Fourier Series represetatio were give by f ( x) a o ( a x cos b x si ) () where the Fourier coefficiets

More information

Decoding A Counter. svbitec.wordpress.com 1

Decoding A Counter. svbitec.wordpress.com 1 ecoding A ounter ecoding a counter involves determining which state in the sequence the counter is in. ifferentiate between active-high and active-low decoding. Active-HIGH decoding: output HIGH if the

More information

Properties and Tests of Zeros of Polynomial Functions

Properties and Tests of Zeros of Polynomial Functions Properties ad Tests of Zeros of Polyomial Fuctios The Remaider ad Factor Theorems: Sythetic divisio ca be used to fid the values of polyomials i a sometimes easier way tha substitutio. This is show by

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

NICK DUFRESNE. 1 1 p(x). To determine some formulas for the generating function of the Schröder numbers, r(x) = a(x) =

NICK DUFRESNE. 1 1 p(x). To determine some formulas for the generating function of the Schröder numbers, r(x) = a(x) = AN INTRODUCTION TO SCHRÖDER AND UNKNOWN NUMBERS NICK DUFRESNE Abstract. I this article we will itroduce two types of lattice paths, Schröder paths ad Ukow paths. We will examie differet properties of each,

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review Ref: Staley. White, pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review, IEEE SSP Magazie, July,

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Number Representation

Number Representation Number Represetatio 1 Number System :: The Basics We are accustomed to usig the so-called decimal umber system Te digits :: 0,1,2,3,4,5,6,7,8,9 Every digit positio has a weight which is a power of 10 Base

More information

Quantum Information & Quantum Computation

Quantum Information & Quantum Computation CS9A, Sprig 5: Quatum Iformatio & Quatum Computatio Wim va Dam Egieerig, Room 59 vadam@cs http://www.cs.ucsb.edu/~vadam/teachig/cs9/ Admiistrivia Do the exercises. Aswers will be posted at the ed of the

More information

CHAPTER 10 INFINITE SEQUENCES AND SERIES

CHAPTER 10 INFINITE SEQUENCES AND SERIES CHAPTER 10 INFINITE SEQUENCES AND SERIES 10.1 Sequeces 10.2 Ifiite Series 10.3 The Itegral Tests 10.4 Compariso Tests 10.5 The Ratio ad Root Tests 10.6 Alteratig Series: Absolute ad Coditioal Covergece

More information

Finite-length Discrete Transforms. Chapter 5, Sections

Finite-length Discrete Transforms. Chapter 5, Sections Fiite-legth Discrete Trasforms Chapter 5, Sectios 5.2-50 5.0 Dr. Iyad djafar Outlie The Discrete Fourier Trasform (DFT) Matrix Represetatio of DFT Fiite-legth Sequeces Circular Covolutio DFT Symmetry Properties

More information

Computer Engineering Department. CC 311- Computer Architecture. Chapter 4. The Processor: Datapath and Control. Single Cycle

Computer Engineering Department. CC 311- Computer Architecture. Chapter 4. The Processor: Datapath and Control. Single Cycle Computer Engineering Department CC 311- Computer Architecture Chapter 4 The Processor: Datapath and Control Single Cycle Introduction The 5 classic components of a computer Processor Input Control Memory

More information

U8L1: Sec Equations of Lines in R 2

U8L1: Sec Equations of Lines in R 2 MCVU Thursda Ma, Review of Equatios of a Straight Lie (-D) U8L Sec. 8.9. Equatios of Lies i R Cosider the lie passig through A (-,) with slope, as show i the diagram below. I poit slope form, the equatio

More information

Computing the output response of LTI Systems.

Computing the output response of LTI Systems. Computig the output respose of LTI Systems. By breaig or decomposig ad represetig the iput sigal to the LTI system ito terms of a liear combiatio of a set of basic sigals. Usig the superpositio property

More information

Lecture 3. Digital Signal Processing. Chapter 3. z-transforms. Mikael Swartling Nedelko Grbic Bengt Mandersson. rev. 2016

Lecture 3. Digital Signal Processing. Chapter 3. z-transforms. Mikael Swartling Nedelko Grbic Bengt Mandersson. rev. 2016 Lecture 3 Digital Sigal Processig Chapter 3 z-trasforms Mikael Swartlig Nedelko Grbic Begt Madersso rev. 06 Departmet of Electrical ad Iformatio Techology Lud Uiversity z-trasforms We defie the z-trasform

More information

Computability and computational complexity

Computability and computational complexity Computability ad computatioal complexity Lecture 6: Relatios betwee complexity classes Io Petre Computer Sciece, Åbo Akademi Uiversity Fall 2015 http://users.abo.fi/ipetre/computability/ 21 May 2018 http://users.abo.fi/ipetre/computability/

More information

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5 Sigals ad Systems Sigals ad Systems Sigals are variables that carry iformatio Systemstake sigals as iputs ad produce sigals as outputs The course deals with the passage of sigals through systems T-6.4

More information

1. Linearization of a nonlinear system given in the form of a system of ordinary differential equations

1. Linearization of a nonlinear system given in the form of a system of ordinary differential equations . Liearizatio of a oliear system give i the form of a system of ordiary differetial equatios We ow show how to determie a liear model which approximates the behavior of a time-ivariat oliear system i a

More information

2C09 Design for seismic and climate changes

2C09 Design for seismic and climate changes 2C09 Desig for seismic ad climate chages Lecture 02: Dyamic respose of sigle-degree-of-freedom systems I Daiel Grecea, Politehica Uiversity of Timisoara 10/03/2014 Europea Erasmus Mudus Master Course Sustaiable

More information

Merge and Quick Sort

Merge and Quick Sort Merge ad Quick Sort Merge Sort Merge Sort Tree Implemetatio Quick Sort Pivot Item Radomized Quick Sort Adapted from: Goodrich ad Tamassia, Data Structures ad Algorithms i Java, Joh Wiley & So (1998). Ruig

More information

Digital Logic and Design (Course Code: EE222) Lecture 0 3: Digital Electronics Fundamentals

Digital Logic and Design (Course Code: EE222) Lecture 0 3: Digital Electronics Fundamentals Idia Istitute of Techology Jodhpur, Year 208 209 Digital Logic ad Desig (Course Code: EE222) Lecture 0 3: Digital Electroics Fudametals Course Istructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.i

More information

A widely used display of protein shapes is based on the coordinates of the alpha carbons - - C α

A widely used display of protein shapes is based on the coordinates of the alpha carbons - - C α Nice plottig of proteis: I A widely used display of protei shapes is based o the coordiates of the alpha carbos - - C α -s. The coordiates of the C α -s are coected by a cotiuous curve that roughly follows

More information

CS161: Algorithm Design and Analysis Handout #10 Stanford University Wednesday, 10 February 2016

CS161: Algorithm Design and Analysis Handout #10 Stanford University Wednesday, 10 February 2016 CS161: Algorithm Desig ad Aalysis Hadout #10 Staford Uiversity Wedesday, 10 February 2016 Lecture #11: Wedesday, 10 February 2016 Topics: Example midterm problems ad solutios from a log time ago Sprig

More information

Processor Design & ALU Design

Processor Design & ALU Design 3/8/2 Processor Design A. Sahu CSE, IIT Guwahati Please be updated with http://jatinga.iitg.ernet.in/~asahu/c22/ Outline Components of CPU Register, Multiplexor, Decoder, / Adder, substractor, Varity of

More information

Chapter 4 : Laplace Transform

Chapter 4 : Laplace Transform 4. Itroductio Laplace trasform is a alterative to solve the differetial equatio by the complex frequecy domai ( s = σ + jω), istead of the usual time domai. The DE ca be easily trasformed ito a algebraic

More information

In algebra one spends much time finding common denominators and thus simplifying rational expressions. For example:

In algebra one spends much time finding common denominators and thus simplifying rational expressions. For example: 74 The Method of Partial Fractios I algebra oe speds much time fidig commo deomiators ad thus simplifyig ratioal epressios For eample: + + + 6 5 + = + = = + + + + + ( )( ) 5 It may the seem odd to be watig

More information

Proof of Goldbach s Conjecture. Reza Javaherdashti

Proof of Goldbach s Conjecture. Reza Javaherdashti Proof of Goldbach s Cojecture Reza Javaherdashti farzijavaherdashti@gmail.com Abstract After certai subsets of Natural umbers called Rage ad Row are defied, we assume (1) there is a fuctio that ca produce

More information

Menu. 7-Segment LED. Misc. 7-Segment LED MSI Components >MUX >Adders Memory Devices >D-FF, RAM, ROM Computer/Microprocessor >GCPU

Menu. 7-Segment LED. Misc. 7-Segment LED MSI Components >MUX >Adders Memory Devices >D-FF, RAM, ROM Computer/Microprocessor >GCPU Menu 7-Segment LED MSI Components >MUX >Adders Memory Devices >D-FF, RAM, ROM Computer/Microprocessor >GCPU Look into my... 1 7-Segment LED a b c h GND c g b d f a e h Show 7-segment LED in LogicWorks,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 21: Shifters, Decoders, Muxes [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN

More information

Parallel Vector Algorithms David A. Padua

Parallel Vector Algorithms David A. Padua Parallel Vector Algorithms 1 of 32 Itroductio Next, we study several algorithms where parallelism ca be easily expressed i terms of array operatios. We will use Fortra 90 to represet these algorithms.

More information

Lesson 10: Limits and Continuity

Lesson 10: Limits and Continuity www.scimsacademy.com Lesso 10: Limits ad Cotiuity SCIMS Academy 1 Limit of a fuctio The cocept of limit of a fuctio is cetral to all other cocepts i calculus (like cotiuity, derivative, defiite itegrals

More information

Menu. Excitation Tables (Bonus Slide) EEL3701 EEL3701. Registers, RALU, Asynch, Synch

Menu. Excitation Tables (Bonus Slide) EEL3701 EEL3701. Registers, RALU, Asynch, Synch Menu Registers >Storage Registers >Shift Registers More LSI Components >Arithmetic-Logic Units (ALUs) > Carry-Look-Ahead Circuitry (skip this) Asynchronous versus Synchronous Look into my... 1 Excitation

More information

In number theory we will generally be working with integers, though occasionally fractions and irrationals will come into play.

In number theory we will generally be working with integers, though occasionally fractions and irrationals will come into play. Number Theory Math 5840 otes. Sectio 1: Axioms. I umber theory we will geerally be workig with itegers, though occasioally fractios ad irratioals will come ito play. Notatio: Z deotes the set of all itegers

More information

Model of Computation and Runtime Analysis

Model of Computation and Runtime Analysis Model of Computatio ad Rutime Aalysis Model of Computatio Model of Computatio Specifies Set of operatios Cost of operatios (ot ecessarily time) Examples Turig Machie Radom Access Machie (RAM) PRAM Map

More information

Number Conversion. Roland Backhouse March 5, 2001

Number Conversion. Roland Backhouse March 5, 2001 1 Number Coversio Rolad Backhouse March 5, 2001 Outlie 2 We discuss the mathematical properties of covertig real umbers to itegers. I particular, we show how to implemet roudig up (of ratioal umbers) i

More information

Quantum Computing Lecture 7. Quantum Factoring

Quantum Computing Lecture 7. Quantum Factoring Quatum Computig Lecture 7 Quatum Factorig Maris Ozols Quatum factorig A polyomial time quatum algorithm for factorig umbers was published by Peter Shor i 1994. Polyomial time meas that the umber of gates

More information

CS151 Complexity Theory

CS151 Complexity Theory Time ad Space CS151 Complexity Theory Lecture 2 April 1, 2004 A motivatig questio: Boolea formula with odes evaluate usig O(log ) space? depth-first traversal requires storig itermediate values idea: short-circuit

More information

MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE

MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE SCRIBE: CHRISTIAN WOODS 1. Admiistrivia MATH 262A - Circuit Compleit WF 3:30-5:00, APM B412 Istructor: Dr. Sam Buss, sbuss@math.ucsd.edu

More information

BHW #13 1/ Cooper. ENGR 323 Probabilistic Analysis Beautiful Homework # 13

BHW #13 1/ Cooper. ENGR 323 Probabilistic Analysis Beautiful Homework # 13 BHW # /5 ENGR Probabilistic Aalysis Beautiful Homework # Three differet roads feed ito a particular freeway etrace. Suppose that durig a fixed time period, the umber of cars comig from each road oto the

More information

Time-Domain Representations of LTI Systems

Time-Domain Representations of LTI Systems 2.1 Itroductio Objectives: 1. Impulse resposes of LTI systems 2. Liear costat-coefficiets differetial or differece equatios of LTI systems 3. Bloc diagram represetatios of LTI systems 4. State-variable

More information

Exponents. Learning Objectives. Pre-Activity

Exponents. Learning Objectives. Pre-Activity Sectio. Pre-Activity Preparatio Epoets A Chai Letter Chai letters are geerated every day. If you sed a chai letter to three frieds ad they each sed it o to three frieds, who each sed it o to three frieds,

More information

Unit 7 Sequential Circuits (Flip Flop, Registers)

Unit 7 Sequential Circuits (Flip Flop, Registers) College of Computer and Information Sciences Department of Computer Science CSC 220: Computer Organization Unit 7 Sequential Circuits (Flip Flop, Registers) 2 SR Flip-Flop The SR flip-flop, also known

More information