Combinational & Sequential Logic

Size: px
Start display at page:

Download "Combinational & Sequential Logic"

Transcription

1 Combiatioal & Sequetial Logic ENGG23 2 d Semester, 27/8 Dr. Hade So Departmet of Electrical ad Electroic Egieerig Outlie Simplifig logic circuits Miimizatio b Boolea algebra Miimizatio b Karaugh maps Adders, mues ad other Sequetial circuits Output depeds o both the curret iput ad the state of the circuit Output of combiatioal circuit depeds ol o the curret iput Flip-Flops ENGG23 - H. So 2 3 Represetatios of Logic Fuctios Ol truth table represetatio is uique Boolea epressio ad schematics ca be rearraged to suit actual egieerig requiremet Truth Table LOGIC MINIMIZATION Schematics Boolea Epressio ENGG23 - H. So 3 ENGG23 - H. So 4

2 Wh rearrage logic circuits? I digital sstems: é o. of logic gates è é area è é power cosumptio é o. of logic gates usuall icreases dela of circuit è slower circuit Logic miimizatio helps to make logic circuits smaller, faster ad lower power cosumptio Two was to simplif logic circuits: Boolea Algebra K-Map ENGG23 - H. So 5 ENGG23 - H. So 6 Miimizatio b Algebra Make use of relatioships ad theorems of Boolea algebra to simplif the epressios this method relies o our algebraic skill E.g. Simplif ABC + AB (AC) = ABC + AB (A +C) [b DeMorga thm] = ABC + AB (A + C) [cacel double iverios] = ABC + ABA+ ABC [multipl out] = ABC + AB + ABC [A A = A] = AC(B + B) + AB = AC + AB [B + B =] Karaugh Map (K-map) A graphical tool to facilitate logic miimizatio Derive simplest SOP epressio b sstematicall loopig s i the K-map ABC + ABC + ABC + ABC A B C Y A Gra Code BC ENGG23 - H. So 7 ENGG23 - H. So 8

3 Loopig i K-Map To simplif logic epress, make loops aroud all s Rules: Loops cosist of rectagle blocks of adjacet s Size of a loop must be power of 2:, 2, 4, 8, 6, Use miimum umber of loops (use loops as big as possible) Loops ma overlap NOTE: Edges of K-map wrap aroud ABC + ABC + ABC + ABC BC Write out simplified epressio The simplified logic epressio is a sum of the epressio for each loop The epressio for each loop is the product of the commo terms ABC + ABC + ABC + ABC A BC A Simplified Epressio AC + BC ENGG23 - H. So 9 K-map with 4 iputs K-map ca be eteded to 4 or more iputs 4-iput K-map is similar to 3-iput K-map ecept both aes represet 2 variables each 5 or more iput rarel used as the require drawig map i 3D, 4D, or higher AB CD ENGG23 - H. So ENGG23 - H. So Eample: 4-iput K-map A B C D Y CD AB ENGG23 - H. So 2

4 More eamples o loopig of two Loopig group of four (quads) A K map ma cotai a group of four s that are adjacet to each other. This group is called quad Loopig a quad of adjacet s elimiates the two variables that appear i both complemeted ad ucomplemeted form Eamples: ENGG23 - H. So 3 ENGG23 - H. So 4 Loopig group of eight (Octets) A group of eight s that are adjacet to oe aother is called a octet Loopig a octet of adjacet s elimiates the three variables that appear i both complemeted ad ucomplemeted form Eamples: How K-map Works? Gra code ecodig i K-map esures that ol variable differs betwee ever two adjacet cells The loops help to etract commo terms i Boolea epressio Result is i simplest caoical SOP form A BC ABC + ABC = AC(B + B) = AC ENGG23 - H. So 5 ENGG23 - H. So 6

5 More eamples BC A Eamples: AB\CD AB\CD ABC + ABC + ABC = ABC + ABC + ABC + ABC = AC(B + B)+ BC(A + A) = AC + BC AB + CD BD + ABC ENGG23 - H. So 7 ENGG23 - H. So 8 Fillig out a Karaugh Map Give a iitial (usimplified) logic Boolea epressio Write the epressio i SOP form For each product term, write a i all the squares which are icluded i the term, elsewhere All variables preset i the product term: oe square Oe variable missig: two adjacet squares Two terms missig: 4 adjacet squares Eample : X = ABC+ ABC+ ABC+ ABC Eample 2: X = BC+ ABC+ AC Eample 3: X = B+ ABC+ A A\BC A\BC A\BC Do t Care Coditios I certai cases, some of the iput coditios ma ever occur or it ma ot matter what happes eve if the do I such cases we fill i the K map with a X meaig do't care Whe miimizig a X is like a "joker" X ca be or - whatever helps best with the miimizatio E.g.,: ABC will ever occur or we do t care what is the output eve if it occur A\BC X simplifies to B if X is assumed If we assume X =, the output becomes AB+BC, which is more complicated ENGG23 - H. So 9 ENGG23 - H. So 2

6 Quick Quiz AB\CD X X AB\CD X X 2 3 BCD + BD BCD + BD ABCD + BD 2 3 ABC + ACD + ACD + ABC ABC + ACD + ABC + BD ABC + ACD + ABC Puttig It Together MULTI-BIT ADDER 4 ABCD + BD 4 ABC + ACD + ACD + ABC ENGG23 - H. So 2 ENGG23 - H. So 22 Biar Numbers Represets umbers i base 2 E.g.: 23 = 2 Almost all computers toda utilize biar represetatio of umbers iterall Decimal Biar From Biar to Decimal Note that the value of a biar umber is give b: 2 i b i i= where b i is the digital at positio i, startig coutig from zero from the far right. Covertig from biar to decimal ca be doe b addig the power-of-2 where there is a ENGG23 - H. So 23 ENGG23 - H. So 24

7 Eample Covert the biar umber ito decimal represetatio Similar to Decimal = = = = = = = 238 ENGG23 - H. So 25 ENGG23 - H. So 26 From Decimal to Biar Ca be foud usig short divisio : Successivel divide the divided b 2 The remaiders form the resultig biar umber whe couted from the bottom Eample: Coverts 9 ito biar è 9 = 2 Positive Itegers No-egative biar umbers (,, 2, 3, ) ca be represeted aturall with bitstrigs that correspods to their biar represetatio Represets equall spaced itegers o the umber lie Sometimes called usiged iteger Value Biar Bitstrig (8- bit) ENGG23 - H. So 27 ENGG23 - H. So 28

8 Positive Itegers With a bitstrig of width, the followig properties hold: mi value : ma value : 2 The value of a bistrig { b b 2 b } ca be calculated as: 2 i b i E.g. The value of i= 2 = = = 23 ENGG23 - H. So 29 Positive Itegers Additio Two +ve itegers ca be added similar to the wa decimal umbers are added i log additio How do we implemet biar additio i hardware? ENGG23 - H. So 3 Half Adder Basic additio of two -bit values Geerate a carr out to the et bit if the result is 2 a b co s s = a b co = a b ENGG23 - H. So 3 + Full Adder The subsequet bits eed to be slightl smarter tha a half adder There ma be carr iput from the bit to the right A 3-iput fuctio (a, b, ci) ci a b co s s = a b ci co = a b + ci a + b ( ) + ENGG23 - H. So 32

9 Multi-bit Adder Both HA ad FA ca add bit ol A half-adder is simpl a full-adder with the carr iput tied to To make a multi-bit adder, we ca coect the carr output from oe FA to the carr iput of aother oe Start from least sigificat bit (usuall rightmost bit) ad propagate the carr to the left (the most sigificat bit) Mimic the actio of a log additio ENGG23 - H. So 33 + Multi-bit Adder Carr out a<3> b<3> a co FA s s<3> b ci a<2> b<2> a co FA s s<2> b ci a<> b<> a<> b<> Note: the <> otatio is a shorthad to deote a bit withi a multi-bit sigal. Other commo otatio: a(), a[], a, etc Egieer sometimes call multi-bit sigal a bus, or a sigal bus. ENGG23 - H. So 34 a co FA s s<> b ci a co FA s s<> b ci Bus Notatio 3 2 [3:] = 2 = 3 Bus Notatio Eample cout A bus is a budle of wire sigals that work idepedetl Most ofte used to deote a sigal with more tha bit e.g. a 32-bit value has 32 wires For a 32 bit sigal X, we use this otatio: X[3:] or X To refer to a particular bit of the bus, we use these otatios: X[2:] (3 bits startig from positio 2 dow to ) X[4] X4 As a covetio, we alwas deote the leftmost, aka most sigificat bit (MSB) with the largest ide i a bus. The rightmost, aka least sigificat bit (LSB) alwas has ide e.g. [3:] deotes a 4 bit sigals with the followig positio i a actual umber: a[3] a[2] a[] a[] b[3] b[2] b[] b[] ci s[3] s[2] s[] s[] a[3:] b[3:] cout ci s[3:] ENGG23 - H. So 35 ENGG23 - H. So 36

10 Multipleer (Mu) A B sel 2-to- mu if sel== { out=a } else { out=b } out sel[:] 4-to- mu out A mu passes oe of its N iputs to the output accordig to the value of its sel iput ENGG23 - H. So 37 A B C D 2 3 if sel== { out=a } else if sel== { out=b } else if sel==2 { out=c } else if sel==3 { out=d } Implemetig a 2-to- Mu Oe wa to implemet a mu is to treat it as a simple combiatioal logic: determie its truth table implemet the truth table usig logic gates Eample, a 2-to- mu sel a b out out = sel a + sel b ENGG23 - H. So 38 Short Summar Truth Table, Schematics ad Boolea Epressio are 3 differet was to represet the same fuctioalit Coversio betwee the 3 is relativel straight-forward TT is the ol represetatio that is uique Logic circuits ca be miimized/maipulated b Boolea algebra Ma use TTs for proofs as the are uique K map is a had graphical was to obtai miimized logic fuctios Combiatioal techiques allow us to build large circuits Multi-bit Adder from sigle-bit HA ad FA ENGG23 - H. So 39 ENGG23 - H. So 4

11 Combiatioal vs Sequetial Sequetial Combiatioal I combiatioal logic, the output is a pure fuctio of the preset iput ol, i.e. o memor effect I sequetial logic, the output depeds ot ol o the preset iput, but also o the histor of the iput, i.e. memor effect Sequetial Logic Sequetial logic circuits are circuits that cotais state elemets State elemets are circuits that remember its iput Allow a circuit to have memor of its past All state elemets of a circuit collectivel store the curret state of the circuit The output of the circuit depeds o both Curret Iput State (memor) of circuit ENGG23 - H. So 4 ENGG23 - H. So 42 Wh Sequetial Circuit? Itroduces the otio of time i the circuit Provide order to the operatio of the circuit E.g. part of a circuit must ot start computig util the iputs are read Coordiate differet parts of circuit to operate o the correct set of data E.g. A circuit that computes the fial grade should take iput (homework, eam grades, etc) of the same studet Recall previous values E.g. Echo cacellatio b subtractig previous output soud wave ms ago from iput sigals State Elemets A state elemet (circuit compoet) stores a or permaetl regardless of the chages i iput Simple eample (but ot quite useful): If is, the is, the is, If is, the is, the is, The value stored iside the circuit will ot chage To be useful: Need a wa to chage the state ENGG23 - H. So 43 ENGG23 - H. So 44

12 Flip-Flops A edge-trigger flip-flop (FF) is a circuit that chages it output ol whe the value of it s clock iput chages è = Risig Edge è = Fallig Edge Igore iput whe clock is ot chagig For simplicit, we will ol use risig edge triggered FF i this class A edge-triggerig sigal pi is usuall deoted b a wedge i the schematic smbol. D Flip-Flop Timig Diagram oe sigal per row D Q A D-FF has data iput port D, ad a sigle output port Q, plus Clock iput Optioal: reset (clear) iput Optioal: eable At the risig edge of clock sigal, the value at iput D is captured. Captured data is output at Q after a small dela I this class, it is deoted: T Q Igore all iput betwee clock edges time Schematic Smbol ENGG23 - H. So 45 ENGG23 - H. So 46 E Dela Lie/Shift Register a b a c b c Oe simple (but useful) wa to use DFFs is to form a dela lie. A dela lie with DFFs delas the iput sigal b clock ccles Note: I hardware desigs, all parts of the circuit operate i parallel Sice the same is coected to both DFFs, both of them operate schroousl Quick Quiz Which of the followig best describes the fuctio of the circuit above? alwas output the iverse of alwas output value of from previous ccle 2 outputs whe chages its value from previous ccle 3 4 outputs whe stas for 2 ccles ENGG23 - H. So 47 ENGG23 - H. So 48

13 Timig Timig is a delaed versio of = " combiatioal (regardless of ) time time ENGG23 - H. So 49 ENGG23 - H. So 5 Timig time if was i last clock ccle, but ow is, the becomes if was i last clock ccle, but ow is, the becomes Quick Quiz Eplaied The value at cotais the value of from previous ccle = " =, = =, = = TRUE iff eactl oe of the two is TRUE is TRUE if the value of chages from its value i previous ccle Note the DFF has give us memor of from previous ccle ENGG23 - H. So 5 ENGG23 - H. So 52

14 Eample Implemet Toggle FF with DFF A toggle flip-flop (T-flip-flop) toggles the output at risig clock edge whe the toggle sigal (T) is HIGH. Otherwise, the output remais uchaged. T Q T Q Ca we use a DFF to implemet a TFF? E: Implemetig TFF with DFF Step : Defie a sigal etq etq deotes the value that should be output at Q after the et clock edge Step : Epress etq as a fuctio of iput T ad Q Give the curret output Q is ad the iput at T is, the the et value of Q after the clock edge should be etq is a combiatioal fuctio o T,Q. Use a truth table to list out its behavior Q T T Q etq etq Curret ccle T Q Net ccle ENGG23 - H. So 53 ENGG23 - H. So 54 E: Implemet TFF usig DFF Step 2: Use a DFF to implemet the fuctio of storig etq ito Q after the et clock edge Q T etq Q Register A register is a parallel compositio of D-flip-flops. A -bit register cotais DFFs A register stores multi-bit values d3 d2 d q3 q2 q T Q etq ENGG23 - H. So 55 d q d q d ENGG23 - H. So q 56

15 Accumulator Accumulator A accumulator accumulates the iput values () ito the iterall stored sum o ever clock edge. NOTE X 2 curret_sum A B S et_sum sum sum Istead of simple ad, the value i the sigal X ad S are used The iitial value of S must be reset to the value zero for correct behavior It ca be costructed usig a adder with a register: Step : the et value of sum after the clock edge should be the sum of iput ad the curret_sum Step 2: At clock edge, we store the value of et_sum usig a register Step 3: the ewl stored value becomes the curret_sum after the clock edge ENGG23 - H. So 57 ENGG23 - H. So 58 Schroous vs Aschroous Sequetial Circuit This Course schroous Sequetial aschroous Combiatioal Schroous Sequetial Circuits A schroous sequetial circuit cotais eactl clock sigal All state elemets are coected to the same clock sigal è the state of the etire circuit is updated at the same time Commo form of schroous sequetial circuits: I schroous sequetial circuits, all state elemets are updated schroousl accordig to a sigle clock sigal iput Comb Logic Comb Logic Comb Logic Comb Logic output I aschroous sequetial circuits, state elemets ma be updated with multiple clocks, o clock sigal, or a other schemes. ENGG23 - H. So 59 ENGG23 - H. So 6

16 Clock Sigal A clock sigal is particularl importat sigal i a schroous sequetial circuit It cotrols the actio of all DFFs A clock sigal toggles betwee ad periodicall The frequec of the togglig determies the maimum speed of the circuit E.g.: i the accumulator eample earlier, the output S caot chage faster tha the clock frequec X 2 S clock period = clock frequec clock period e.g. Itel CPU rus at 3 GHz, Mobile phoe processors at GHz Lab FPGA board at 5 MHz Timig of Circuits So far, we have assumed: output of a combiatioal circuit chages istataeous w.r.t. iput Output of a FF chages istataeousl w.r.t. clock edge I realit, it takes fiite amout of time for a sigal to travel through a circuit. The timig of differet parts of a circuit ma cause glitches i output, limit the maimum speed of a desig ENGG23 - H. So 6 ENGG23 - H. So 62 Propagatio Dela a b c d Each logic gate icurs dela betwee the iput ad output to allow sigal to propagate Usuall referred as propagatio dela or gate dela Eact value is techolog-depedet I this class, we assume all gates have the same uit propagatio dela. The speed of a circuit is alwas limited b the slowest path 3 uits of dela from a to uit of dela from d to Worst case dela = 3 uits Timig i Schroous Circuits a b c d I a schroous sequetial circuit, sigal chages occur ol durig clock edge All sigals are therefore schroized to chage values right after a clock edge I the above eample, eed to make sure correct value of available BEFORE et clock edge Avoid glitches ENGG23 - H. So 63 ENGG23 - H. So 64

17 Timig i Schroous Circuits I geeral, the propagatio dela through the combiatioal logic betwee a two registers must be shorter tha the clock period The logest such path is called the critical path of the circuit The critical path determies the maimum clock speed Schroous Circuits Timig Comb Logic All chages betwee clock edges are igored a b Sice ol values right before the clock edge i the iput ports are captured A short period of time before a clock edge must be allocated to esure stable iputs Too small è Chace of failig circuit Too big è Wasted idle time Stable before clock edge ENGG23 - H. So 65 Sice all circuit rus o the same clock, clock frequec limited b the logest critical path ENGG23 - H. So 66 Google TPU Tesor Processig Uit A dedicated hardware accelerator to accelerate machie learig applicatios High performace, Low Power Deploed i global dataceters Where are these materials beig used i our everda lives? ENGG23 - H. So 67 ENGG23 - H. So 68

18 Summar Simplifig logic circuits Miimizatio b Boolea algebra Miimizatio b Karaugh maps Adders I sequetial circuits, output depeds o both the curret iput ad the state of the circuit Output of combiatioal circuit depeds ol o the curret iput D-Flip-Flops are the most commo state elemet to hold states i a circuit The output value of ad edge-triggered DFF ol chages at positive clock edge. A multi-bit DFF is sometimes referred as a register ENGG23 - H. So 69

EE260: Digital Design, Spring n Binary logic and Gates n Boolean Algebra. n Basic Properties n Algebraic Manipulation

EE260: Digital Design, Spring n Binary logic and Gates n Boolean Algebra. n Basic Properties n Algebraic Manipulation EE26: Digital Desig, Sprig 28 2-Ja-8 EE 26: Itroductio to Digital Desig Boolea lgebra: Logic Represetatios ad alysis Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview Biary

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol

More information

Annotations to the assignments and the solution sheet. Note the following points

Annotations to the assignments and the solution sheet. Note the following points WS 26/7 Trial Exam: Fudametals of Computer Egieerig Seite: Aotatios to the assigmets ad the solutio sheet This is a multiple choice examiatio, that meas: Solutio approaches are ot assessed. For each sub-task

More information

U8L1: Sec Equations of Lines in R 2

U8L1: Sec Equations of Lines in R 2 MCVU U8L: Sec. 8.9. Equatios of Lies i R Review of Equatios of a Straight Lie (-D) Cosider the lie passig through A (-,) with slope, as show i the diagram below. I poit slope form, the equatio of the lie

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

Algebra II Notes Unit Seven: Powers, Roots, and Radicals

Algebra II Notes Unit Seven: Powers, Roots, and Radicals Syllabus Objectives: 7. The studets will use properties of ratioal epoets to simplify ad evaluate epressios. 7.8 The studet will solve equatios cotaiig radicals or ratioal epoets. b a, the b is the radical.

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007 rithmetic Circuits (Part I) Rady H. Katz Uiversity of Califoria, erkeley prig 27 Lecture #23: rithmetic Circuits- Motivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs

More information

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet

More information

September 2012 C1 Note. C1 Notes (Edexcel) Copyright - For AS, A2 notes and IGCSE / GCSE worksheets 1

September 2012 C1 Note. C1 Notes (Edexcel) Copyright   - For AS, A2 notes and IGCSE / GCSE worksheets 1 September 0 s (Edecel) Copyright www.pgmaths.co.uk - For AS, A otes ad IGCSE / GCSE worksheets September 0 Copyright www.pgmaths.co.uk - For AS, A otes ad IGCSE / GCSE worksheets September 0 Copyright

More information

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation Overview EEC 5 Compoets ad Desig Techiques for Digital ystems Lec 5 dditio, ubtractio, ad Negative Numbers David Culler Electrical Egieerig ad Computer cieces Uiversity of Califoria, erkeley Recall basic

More information

C/CS/Phys C191 Deutsch and Deutsch-Josza algorithms 10/20/07 Fall 2007 Lecture 17

C/CS/Phys C191 Deutsch and Deutsch-Josza algorithms 10/20/07 Fall 2007 Lecture 17 C/CS/Phs C9 Deutsch ad Deutsch-Josza algorithms 0/0/07 Fall 007 Lecture 7 Readigs Beeti et al., Ch. 3.9-3.9. Stolze ad Suter, Quatum Computig, Ch. 8. - 8..5) Nielse ad Chuag, Quatum Computatio ad Quatum

More information

LESSON 2: SIMPLIFYING RADICALS

LESSON 2: SIMPLIFYING RADICALS High School: Workig with Epressios LESSON : SIMPLIFYING RADICALS N.RN.. C N.RN.. B 5 5 C t t t t t E a b a a b N.RN.. 4 6 N.RN. 4. N.RN. 5. N.RN. 6. 7 8 N.RN. 7. A 7 N.RN. 8. 6 80 448 4 5 6 48 00 6 6 6

More information

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018 Desig of Digital Circuits Lecture 7: Seuetial Logic Desig Prof. Our Mutlu ETH Zurich Sprig 2018 15 March 2018 Readigs Please study Slides 102-120 from Lecture 6 o your ow This week Seuetial Logic P&P Chapter

More information

Parallel Vector Algorithms David A. Padua

Parallel Vector Algorithms David A. Padua Parallel Vector Algorithms 1 of 32 Itroductio Next, we study several algorithms where parallelism ca be easily expressed i terms of array operatios. We will use Fortra 90 to represet these algorithms.

More information

Number Representation

Number Representation Number Represetatio 1 Number System :: The Basics We are accustomed to usig the so-called decimal umber system Te digits :: 0,1,2,3,4,5,6,7,8,9 Every digit positio has a weight which is a power of 10 Base

More information

Exponents. Learning Objectives. Pre-Activity

Exponents. Learning Objectives. Pre-Activity Sectio. Pre-Activity Preparatio Epoets A Chai Letter Chai letters are geerated every day. If you sed a chai letter to three frieds ad they each sed it o to three frieds, who each sed it o to three frieds,

More information

DESCRIPTION OF THE SYSTEM

DESCRIPTION OF THE SYSTEM Sychroous-Serial Iterface for absolute Ecoders SSI 1060 BE 10 / 01 DESCRIPTION OF THE SYSTEM TWK-ELEKTRONIK GmbH D-001 Düsseldorf PB 1006 Heirichstr. Tel +9/11/6067 Fax +9/11/6770 e-mail: ifo@twk.de Page

More information

U8L1: Sec Equations of Lines in R 2

U8L1: Sec Equations of Lines in R 2 MCVU Thursda Ma, Review of Equatios of a Straight Lie (-D) U8L Sec. 8.9. Equatios of Lies i R Cosider the lie passig through A (-,) with slope, as show i the diagram below. I poit slope form, the equatio

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units rithmetic rcuits (art I) Rady H. Katz Uiversity of Califoria, erkeley otivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs Doig thigs fast requires more logic

More information

Definitions and Theorems. where x are the decision variables. c, b, and a are constant coefficients.

Definitions and Theorems. where x are the decision variables. c, b, and a are constant coefficients. Defiitios ad Theorems Remember the scalar form of the liear programmig problem, Miimize, Subject to, f(x) = c i x i a 1i x i = b 1 a mi x i = b m x i 0 i = 1,2,, where x are the decisio variables. c, b,

More information

Design and Analysis of ALGORITHM (Topic 2)

Design and Analysis of ALGORITHM (Topic 2) DR. Gatot F. Hertoo, MSc. Desig ad Aalysis of ALGORITHM (Topic 2) Algorithms + Data Structures = Programs Lessos Leared 1 Our Machie Model: Assumptios Geeric Radom Access Machie (RAM) Executes operatios

More information

6.3 Testing Series With Positive Terms

6.3 Testing Series With Positive Terms 6.3. TESTING SERIES WITH POSITIVE TERMS 307 6.3 Testig Series With Positive Terms 6.3. Review of what is kow up to ow I theory, testig a series a i for covergece amouts to fidig the i= sequece of partial

More information

6.003 Homework #3 Solutions

6.003 Homework #3 Solutions 6.00 Homework # Solutios Problems. Complex umbers a. Evaluate the real ad imagiary parts of j j. π/ Real part = Imagiary part = 0 e Euler s formula says that j = e jπ/, so jπ/ j π/ j j = e = e. Thus the

More information

MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE

MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE SCRIBE: CHRISTIAN WOODS 1. Admiistrivia MATH 262A - Circuit Compleit WF 3:30-5:00, APM B412 Istructor: Dr. Sam Buss, sbuss@math.ucsd.edu

More information

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc)

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc) Classificatio of problem & problem solvig strategies classificatio of time complexities (liear, arithmic etc) Problem subdivisio Divide ad Coquer strategy. Asymptotic otatios, lower boud ad upper boud:

More information

INTEGRATION BY PARTS (TABLE METHOD)

INTEGRATION BY PARTS (TABLE METHOD) INTEGRATION BY PARTS (TABLE METHOD) Suppose you wat to evaluate cos d usig itegratio by parts. Usig the u dv otatio, we get So, u dv d cos du d v si cos d si si d or si si d We see that it is ecessary

More information

Analysis of Algorithms. Introduction. Contents

Analysis of Algorithms. Introduction. Contents Itroductio The focus of this module is mathematical aspects of algorithms. Our mai focus is aalysis of algorithms, which meas evaluatig efficiecy of algorithms by aalytical ad mathematical methods. We

More information

Infinite Sequences and Series

Infinite Sequences and Series Chapter 6 Ifiite Sequeces ad Series 6.1 Ifiite Sequeces 6.1.1 Elemetary Cocepts Simply speakig, a sequece is a ordered list of umbers writte: {a 1, a 2, a 3,...a, a +1,...} where the elemets a i represet

More information

7. Modern Techniques. Data Encryption Standard (DES)

7. Modern Techniques. Data Encryption Standard (DES) 7. Moder Techiques. Data Ecryptio Stadard (DES) The objective of this chapter is to illustrate the priciples of moder covetioal ecryptio. For this purpose, we focus o the most widely used covetioal ecryptio

More information

Problem Set # 5 Solutions

Problem Set # 5 Solutions MIT./8.4/6.898/8.435 Quatum Iformatio Sciece I Fall, 00 Sam Ocko October 5, 00 Problem Set # 5 Solutios. Most uitar trasforms are hard to approimate. (a) We are dealig with boolea fuctios that take bits

More information

4.3 Growth Rates of Solutions to Recurrences

4.3 Growth Rates of Solutions to Recurrences 4.3. GROWTH RATES OF SOLUTIONS TO RECURRENCES 81 4.3 Growth Rates of Solutios to Recurreces 4.3.1 Divide ad Coquer Algorithms Oe of the most basic ad powerful algorithmic techiques is divide ad coquer.

More information

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design MOS Digital Itegrated ircuits Aalysis ad Desig hapter 9 Dyamic Logic ircuits 1 Itroductio Static logic circuit Output correspodig to the iput voltage after a certai time delay Preservig its output level

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

CHAPTER I: Vector Spaces

CHAPTER I: Vector Spaces CHAPTER I: Vector Spaces Sectio 1: Itroductio ad Examples This first chapter is largely a review of topics you probably saw i your liear algebra course. So why cover it? (1) Not everyoe remembers everythig

More information

SNAP Centre Workshop. Basic Algebraic Manipulation

SNAP Centre Workshop. Basic Algebraic Manipulation SNAP Cetre Workshop Basic Algebraic Maipulatio 8 Simplifyig Algebraic Expressios Whe a expressio is writte i the most compact maer possible, it is cosidered to be simplified. Not Simplified: x(x + 4x)

More information

Digital Logic and Design (Course Code: EE222) Lecture 0 3: Digital Electronics Fundamentals

Digital Logic and Design (Course Code: EE222) Lecture 0 3: Digital Electronics Fundamentals Idia Istitute of Techology Jodhpur, Year 208 209 Digital Logic ad Desig (Course Code: EE222) Lecture 0 3: Digital Electroics Fudametals Course Istructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.i

More information

Sequences, Mathematical Induction, and Recursion. CSE 2353 Discrete Computational Structures Spring 2018

Sequences, Mathematical Induction, and Recursion. CSE 2353 Discrete Computational Structures Spring 2018 CSE 353 Discrete Computatioal Structures Sprig 08 Sequeces, Mathematical Iductio, ad Recursio (Chapter 5, Epp) Note: some course slides adopted from publisher-provided material Overview May mathematical

More information

Internal Information Representation and Processing

Internal Information Representation and Processing Iteral Iformatio Represetatio ad Processig CSCE 16 - Fudametals of Computer Sciece Dr. Awad Khalil Computer Sciece & Egieerig Departmet The America Uiversity i Cairo Decimal Number System We are used to

More information

In algebra one spends much time finding common denominators and thus simplifying rational expressions. For example:

In algebra one spends much time finding common denominators and thus simplifying rational expressions. For example: 74 The Method of Partial Fractios I algebra oe speds much time fidig commo deomiators ad thus simplifyig ratioal epressios For eample: + + + 6 5 + = + = = + + + + + ( )( ) 5 It may the seem odd to be watig

More information

subcaptionfont+=small,labelformat=parens,labelsep=space,skip=6pt,list=0,hypcap=0 subcaption ALGEBRAIC COMBINATORICS LECTURE 8 TUESDAY, 2/16/2016

subcaptionfont+=small,labelformat=parens,labelsep=space,skip=6pt,list=0,hypcap=0 subcaption ALGEBRAIC COMBINATORICS LECTURE 8 TUESDAY, 2/16/2016 subcaptiofot+=small,labelformat=pares,labelsep=space,skip=6pt,list=0,hypcap=0 subcaptio ALGEBRAIC COMBINATORICS LECTURE 8 TUESDAY, /6/06. Self-cojugate Partitios Recall that, give a partitio λ, we may

More information

Section 5.1 The Basics of Counting

Section 5.1 The Basics of Counting 1 Sectio 5.1 The Basics of Coutig Combiatorics, the study of arragemets of objects, is a importat part of discrete mathematics. I this chapter, we will lear basic techiques of coutig which has a lot of

More information

Notes on iteration and Newton s method. Iteration

Notes on iteration and Newton s method. Iteration Notes o iteratio ad Newto s method Iteratio Iteratio meas doig somethig over ad over. I our cotet, a iteratio is a sequece of umbers, vectors, fuctios, etc. geerated by a iteratio rule of the type 1 f

More information

End-of-Year Contest. ERHS Math Club. May 5, 2009

End-of-Year Contest. ERHS Math Club. May 5, 2009 Ed-of-Year Cotest ERHS Math Club May 5, 009 Problem 1: There are 9 cois. Oe is fake ad weighs a little less tha the others. Fid the fake coi by weighigs. Solutio: Separate the 9 cois ito 3 groups (A, B,

More information

Lecture 9: Hierarchy Theorems

Lecture 9: Hierarchy Theorems IAS/PCMI Summer Sessio 2000 Clay Mathematics Udergraduate Program Basic Course o Computatioal Complexity Lecture 9: Hierarchy Theorems David Mix Barrigto ad Alexis Maciel July 27, 2000 Most of this lecture

More information

Statistics 511 Additional Materials

Statistics 511 Additional Materials Cofidece Itervals o mu Statistics 511 Additioal Materials This topic officially moves us from probability to statistics. We begi to discuss makig ifereces about the populatio. Oe way to differetiate probability

More information

SEQUENCES AND SERIES

SEQUENCES AND SERIES Sequeces ad 6 Sequeces Ad SEQUENCES AND SERIES Successio of umbers of which oe umber is desigated as the first, other as the secod, aother as the third ad so o gives rise to what is called a sequece. Sequeces

More information

SOLUTIONS TO PRISM PROBLEMS Junior Level 2014

SOLUTIONS TO PRISM PROBLEMS Junior Level 2014 SOLUTIONS TO PRISM PROBLEMS Juior Level 04. (B) Sice 50% of 50 is 50 5 ad 50% of 40 is the secod by 5 0 5. 40 0, the first exceeds. (A) Oe way of comparig the magitudes of the umbers,,, 5 ad 0.7 is 4 5

More information

Most text will write ordinary derivatives using either Leibniz notation 2 3. y + 5y= e and y y. xx tt t

Most text will write ordinary derivatives using either Leibniz notation 2 3. y + 5y= e and y y. xx tt t Itroductio to Differetial Equatios Defiitios ad Termiolog Differetial Equatio: A equatio cotaiig the derivatives of oe or more depedet variables, with respect to oe or more idepedet variables, is said

More information

Module 5 EMBEDDED WAVELET CODING. Version 2 ECE IIT, Kharagpur

Module 5 EMBEDDED WAVELET CODING. Version 2 ECE IIT, Kharagpur Module 5 EMBEDDED WAVELET CODING Versio ECE IIT, Kharagpur Lesso 4 SPIHT algorithm Versio ECE IIT, Kharagpur Istructioal Objectives At the ed of this lesso, the studets should be able to:. State the limitatios

More information

Some Explicit Formulae of NAF and its Left-to-Right. Analogue Based on Booth Encoding

Some Explicit Formulae of NAF and its Left-to-Right. Analogue Based on Booth Encoding Vol.7, No.6 (01, pp.69-74 http://dx.doi.org/10.1457/ijsia.01.7.6.7 Some Explicit Formulae of NAF ad its Left-to-Right Aalogue Based o Booth Ecodig Dog-Guk Ha, Okyeo Yi, ad Tsuyoshi Takagi Kookmi Uiversity,

More information

Properties of Regular Languages. Reading: Chapter 4

Properties of Regular Languages. Reading: Chapter 4 Properties of Regular Laguages Readig: Chapter 4 Topics ) How to prove whether a give laguage is regular or ot? 2) Closure properties of regular laguages 3) Miimizatio of DFAs 2 Some laguages are ot regular

More information

Summary: Congruences. j=1. 1 Here we use the Mathematica syntax for the function. In Maple worksheets, the function

Summary: Congruences. j=1. 1 Here we use the Mathematica syntax for the function. In Maple worksheets, the function Summary: Cogrueces j whe divided by, ad determiig the additive order of a iteger mod. As described i the Prelab sectio, cogrueces ca be thought of i terms of coutig with rows, ad for some questios this

More information

Signals & Systems Chapter3

Signals & Systems Chapter3 Sigals & Systems Chapter3 1.2 Discrete-Time (D-T) Sigals Electroic systems do most of the processig of a sigal usig a computer. A computer ca t directly process a C-T sigal but istead eeds a stream of

More information

Sequences A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence

Sequences A sequence of numbers is a function whose domain is the positive integers. We can see that the sequence Sequeces A sequece of umbers is a fuctio whose domai is the positive itegers. We ca see that the sequece 1, 1, 2, 2, 3, 3,... is a fuctio from the positive itegers whe we write the first sequece elemet

More information

2D DSP Basics: 2D Systems

2D DSP Basics: 2D Systems - Digital Image Processig ad Compressio D DSP Basics: D Systems D Systems T[ ] y = T [ ] Liearity Additivity: If T y = T [ ] The + T y = y + y Homogeeity: If The T y = T [ ] a T y = ay = at [ ] Liearity

More information

The Scattering Matrix

The Scattering Matrix 2/23/7 The Scatterig Matrix 723 1/13 The Scatterig Matrix At low frequecies, we ca completely characterize a liear device or etwork usig a impedace matrix, which relates the currets ad voltages at each

More information

3. Z Transform. Recall that the Fourier transform (FT) of a DT signal xn [ ] is ( ) [ ] = In order for the FT to exist in the finite magnitude sense,

3. Z Transform. Recall that the Fourier transform (FT) of a DT signal xn [ ] is ( ) [ ] = In order for the FT to exist in the finite magnitude sense, 3. Z Trasform Referece: Etire Chapter 3 of text. Recall that the Fourier trasform (FT) of a DT sigal x [ ] is ω ( ) [ ] X e = j jω k = xe I order for the FT to exist i the fiite magitude sese, S = x [

More information

R is a scalar defined as follows:

R is a scalar defined as follows: Math 8. Notes o Dot Product, Cross Product, Plaes, Area, ad Volumes This lecture focuses primarily o the dot product ad its may applicatios, especially i the measuremet of agles ad scalar projectio ad

More information

RADICAL EXPRESSION. If a and x are real numbers and n is a positive integer, then x is an. n th root theorems: Example 1 Simplify

RADICAL EXPRESSION. If a and x are real numbers and n is a positive integer, then x is an. n th root theorems: Example 1 Simplify Example 1 Simplify 1.2A Radical Operatios a) 4 2 b) 16 1 2 c) 16 d) 2 e) 8 1 f) 8 What is the relatioship betwee a, b, c? What is the relatioship betwee d, e, f? If x = a, the x = = th root theorems: RADICAL

More information

Lecture Overview. 2 Permutations and Combinations. n(n 1) (n (k 1)) = n(n 1) (n k + 1) =

Lecture Overview. 2 Permutations and Combinations. n(n 1) (n (k 1)) = n(n 1) (n k + 1) = COMPSCI 230: Discrete Mathematics for Computer Sciece April 8, 2019 Lecturer: Debmalya Paigrahi Lecture 22 Scribe: Kevi Su 1 Overview I this lecture, we begi studyig the fudametals of coutig discrete objects.

More information

Lesson 10: Limits and Continuity

Lesson 10: Limits and Continuity www.scimsacademy.com Lesso 10: Limits ad Cotiuity SCIMS Academy 1 Limit of a fuctio The cocept of limit of a fuctio is cetral to all other cocepts i calculus (like cotiuity, derivative, defiite itegrals

More information

Polynomial and Rational Functions. Polynomial functions and Their Graphs. Polynomial functions and Their Graphs. Examples

Polynomial and Rational Functions. Polynomial functions and Their Graphs. Polynomial functions and Their Graphs. Examples Polomial ad Ratioal Fuctios Polomial fuctios ad Their Graphs Math 44 Precalculus Polomial ad Ratioal Fuctios Polomial Fuctios ad Their Graphs Polomial fuctios ad Their Graphs A Polomial of degree is a

More information

3.1 Counting Principles

3.1 Counting Principles 3.1 Coutig Priciples Goal: Cout the umber of objects i a set. Notatio: Whe S is a set, S deotes the umber of objects i the set. This is also called S s cardiality. Additio Priciple: Whe you wat to cout

More information

6 Integers Modulo n. integer k can be written as k = qn + r, with q,r, 0 r b. So any integer.

6 Integers Modulo n. integer k can be written as k = qn + r, with q,r, 0 r b. So any integer. 6 Itegers Modulo I Example 2.3(e), we have defied the cogruece of two itegers a,b with respect to a modulus. Let us recall that a b (mod ) meas a b. We have proved that cogruece is a equivalece relatio

More information

THE SOLUTION OF NONLINEAR EQUATIONS f( x ) = 0.

THE SOLUTION OF NONLINEAR EQUATIONS f( x ) = 0. THE SOLUTION OF NONLINEAR EQUATIONS f( ) = 0. Noliear Equatio Solvers Bracketig. Graphical. Aalytical Ope Methods Bisectio False Positio (Regula-Falsi) Fied poit iteratio Newto Raphso Secat The root of

More information

CALCULUS BASIC SUMMER REVIEW

CALCULUS BASIC SUMMER REVIEW CALCULUS BASIC SUMMER REVIEW NAME rise y y y Slope of a o vertical lie: m ru Poit Slope Equatio: y y m( ) The slope is m ad a poit o your lie is, ). ( y Slope-Itercept Equatio: y m b slope= m y-itercept=

More information

Taylor Series (BC Only)

Taylor Series (BC Only) Studet Study Sessio Taylor Series (BC Oly) Taylor series provide a way to fid a polyomial look-alike to a o-polyomial fuctio. This is doe by a specific formula show below (which should be memorized): Taylor

More information

Chapter 4. Fourier Series

Chapter 4. Fourier Series Chapter 4. Fourier Series At this poit we are ready to ow cosider the caoical equatios. Cosider, for eample the heat equatio u t = u, < (4.) subject to u(, ) = si, u(, t) = u(, t) =. (4.) Here,

More information

Machine Learning Regression I Hamid R. Rabiee [Slides are based on Bishop Book] Spring

Machine Learning Regression I Hamid R. Rabiee [Slides are based on Bishop Book] Spring Machie Learig Regressio I Hamid R. Rabiee [Slides are based o Bishop Book] Sprig 015 http://ce.sharif.edu/courses/93-94//ce717-1 Liear Regressio Liear regressio: ivolves a respose variable ad a sigle predictor

More information

w (1) ˆx w (1) x (1) /ρ and w (2) ˆx w (2) x (2) /ρ.

w (1) ˆx w (1) x (1) /ρ and w (2) ˆx w (2) x (2) /ρ. 2 5. Weighted umber of late jobs 5.1. Release dates ad due dates: maximimizig the weight of o-time jobs Oce we add release dates, miimizig the umber of late jobs becomes a sigificatly harder problem. For

More information

Axioms of Measure Theory

Axioms of Measure Theory MATH 532 Axioms of Measure Theory Dr. Neal, WKU I. The Space Throughout the course, we shall let X deote a geeric o-empty set. I geeral, we shall ot assume that ay algebraic structure exists o X so that

More information

Advanced Course of Algorithm Design and Analysis

Advanced Course of Algorithm Design and Analysis Differet complexity measures Advaced Course of Algorithm Desig ad Aalysis Asymptotic complexity Big-Oh otatio Properties of O otatio Aalysis of simple algorithms A algorithm may may have differet executio

More information

Run-length & Entropy Coding. Redundancy Removal. Sampling. Quantization. Perform inverse operations at the receiver EEE

Run-length & Entropy Coding. Redundancy Removal. Sampling. Quantization. Perform inverse operations at the receiver EEE Geeral e Image Coder Structure Motio Video (s 1,s 2,t) or (s 1,s 2 ) Natural Image Samplig A form of data compressio; usually lossless, but ca be lossy Redudacy Removal Lossless compressio: predictive

More information

Chimica Inorganica 3

Chimica Inorganica 3 himica Iorgaica Irreducible Represetatios ad haracter Tables Rather tha usig geometrical operatios, it is ofte much more coveiet to employ a ew set of group elemets which are matrices ad to make the rule

More information

Some examples of vector spaces

Some examples of vector spaces Roberto s Notes o Liear Algebra Chapter 11: Vector spaces Sectio 2 Some examples of vector spaces What you eed to kow already: The te axioms eeded to idetify a vector space. What you ca lear here: Some

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio

More information

Chapter Vectors

Chapter Vectors Chapter 4. Vectors fter readig this chapter you should be able to:. defie a vector. add ad subtract vectors. fid liear combiatios of vectors ad their relatioship to a set of equatios 4. explai what it

More information

ECE Notes 6 Power Series Representations. Fall 2017 David R. Jackson. Notes are from D. R. Wilton, Dept. of ECE

ECE Notes 6 Power Series Representations. Fall 2017 David R. Jackson. Notes are from D. R. Wilton, Dept. of ECE ECE 638 Fall 7 David R. Jackso Notes 6 Power Series Represetatios Notes are from D. R. Wilto, Dept. of ECE Geometric Series the sum N + S + + + + N Notig that N N + we have that S S S S N S + + +, N +

More information

Exponential Rules and How to Use Them Together

Exponential Rules and How to Use Them Together Epoetial Rules ad How to Use Them Together Welcome back! Before we look at problems that ivolve the use of all of our epoetial rules, let s review the epoetial rules ad get a strateg for attackig these

More information

Integer Programming (IP)

Integer Programming (IP) Iteger Programmig (IP) The geeral liear mathematical programmig problem where Mied IP Problem - MIP ma c T + h Z T y A + G y + y b R p + vector of positive iteger variables y vector of positive real variables

More information

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution EEL5: Discrete-Time Sigals ad Systems. Itroductio I this set of otes, we begi our mathematical treatmet of discrete-time s. As show i Figure, a discrete-time operates or trasforms some iput sequece x [

More information

NUMERICAL METHODS FOR SOLVING EQUATIONS

NUMERICAL METHODS FOR SOLVING EQUATIONS Mathematics Revisio Guides Numerical Methods for Solvig Equatios Page 1 of 11 M.K. HOME TUITION Mathematics Revisio Guides Level: GCSE Higher Tier NUMERICAL METHODS FOR SOLVING EQUATIONS Versio:. Date:

More information

Topic 1 2: Sequences and Series. A sequence is an ordered list of numbers, e.g. 1, 2, 4, 8, 16, or

Topic 1 2: Sequences and Series. A sequence is an ordered list of numbers, e.g. 1, 2, 4, 8, 16, or Topic : Sequeces ad Series A sequece is a ordered list of umbers, e.g.,,, 8, 6, or,,,.... A series is a sum of the terms of a sequece, e.g. + + + 8 + 6 + or... Sigma Notatio b The otatio f ( k) is shorthad

More information

Oblivious Gradient Clock Synchronization

Oblivious Gradient Clock Synchronization Motivatio: Clock Sychroizatio Oblivious Gradiet Clock Sychroizatio Thomas Locher, ETH Zurich Roger Wattehofer, ETH Zurich Clock sychroizatio is a classic, importat problem! May results have bee published

More information

Material Balances on Reactive Processes F&R

Material Balances on Reactive Processes F&R Material Balaces o Reactive Processes F&R 4.6-4.8 What does a reactio do to the geeral balace equatio? Accumulatio = I Out + Geeratio Cosumptio For a reactive process at steady-state, the geeral balace

More information

Introduction to Distributed Arithmetic. K. Sridharan, IIT Madras

Introduction to Distributed Arithmetic. K. Sridharan, IIT Madras Itroductio to Distriuted rithmetic. Sridhara, IIT Madras Distriuted rithmetic (D) efficiet techique for calculatio of ier product or multipl ad accumulate (MC) The MC operatio is commo i Digital Sigal

More information

Recursive Algorithms. Recurrences. Recursive Algorithms Analysis

Recursive Algorithms. Recurrences. Recursive Algorithms Analysis Recursive Algorithms Recurreces Computer Sciece & Egieerig 35: Discrete Mathematics Christopher M Bourke cbourke@cseuledu A recursive algorithm is oe i which objects are defied i terms of other objects

More information

(b) What is the probability that a particle reaches the upper boundary n before the lower boundary m?

(b) What is the probability that a particle reaches the upper boundary n before the lower boundary m? MATH 529 The Boudary Problem The drukard s walk (or boudary problem) is oe of the most famous problems i the theory of radom walks. Oe versio of the problem is described as follows: Suppose a particle

More information

FIR Filter Design: Part II

FIR Filter Design: Part II EEL335: Discrete-Time Sigals ad Systems. Itroductio I this set of otes, we cosider how we might go about desigig FIR filters with arbitrary frequecy resposes, through compositio of multiple sigle-peak

More information

ELEC1200: A System View of Communications: from Signals to Packets Lecture 3

ELEC1200: A System View of Communications: from Signals to Packets Lecture 3 ELEC2: A System View of Commuicatios: from Sigals to Packets Lecture 3 Commuicatio chaels Discrete time Chael Modelig the chael Liear Time Ivariat Systems Step Respose Respose to sigle bit Respose to geeral

More information

ELE B7 Power Systems Engineering. Symmetrical Components

ELE B7 Power Systems Engineering. Symmetrical Components ELE B7 Power Systems Egieerig Symmetrical Compoets Aalysis of Ubalaced Systems Except for the balaced three-phase fault, faults result i a ubalaced system. The most commo types of faults are sigle liegroud

More information

UNIVERSITY OF NORTHERN COLORADO MATHEMATICS CONTEST. First Round For all Colorado Students Grades 7-12 November 3, 2007

UNIVERSITY OF NORTHERN COLORADO MATHEMATICS CONTEST. First Round For all Colorado Students Grades 7-12 November 3, 2007 UNIVERSITY OF NORTHERN COLORADO MATHEMATICS CONTEST First Roud For all Colorado Studets Grades 7- November, 7 The positive itegers are,,, 4, 5, 6, 7, 8, 9,,,,. The Pythagorea Theorem says that a + b =

More information

The z-transform. 7.1 Introduction. 7.2 The z-transform Derivation of the z-transform: x[n] = z n LTI system, h[n] z = re j

The z-transform. 7.1 Introduction. 7.2 The z-transform Derivation of the z-transform: x[n] = z n LTI system, h[n] z = re j The -Trasform 7. Itroductio Geeralie the complex siusoidal represetatio offered by DTFT to a represetatio of complex expoetial sigals. Obtai more geeral characteristics for discrete-time LTI systems. 7.

More information

Pixel Recurrent Neural Networks

Pixel Recurrent Neural Networks Pixel Recurret Neural Networks Aa ro va de Oord, Nal Kalchbreer, Koray Kavukcuoglu Google DeepMid August 2016 Preseter - Neha M Example problem (completig a image) Give the first half of the image, create

More information

Analysis of Experimental Measurements

Analysis of Experimental Measurements Aalysis of Experimetal Measuremets Thik carefully about the process of makig a measuremet. A measuremet is a compariso betwee some ukow physical quatity ad a stadard of that physical quatity. As a example,

More information

PH 425 Quantum Measurement and Spin Winter SPINS Lab 1

PH 425 Quantum Measurement and Spin Winter SPINS Lab 1 PH 425 Quatum Measuremet ad Spi Witer 23 SPIS Lab Measure the spi projectio S z alog the z-axis This is the experimet that is ready to go whe you start the program, as show below Each atom is measured

More information

f t dt. Write the third-degree Taylor polynomial for G

f t dt. Write the third-degree Taylor polynomial for G AP Calculus BC Homework - Chapter 8B Taylor, Maclauri, ad Power Series # Taylor & Maclauri Polyomials Critical Thikig Joural: (CTJ: 5 pts.) Discuss the followig questios i a paragraph: What does it mea

More information

62. Power series Definition 16. (Power series) Given a sequence {c n }, the series. c n x n = c 0 + c 1 x + c 2 x 2 + c 3 x 3 +

62. Power series Definition 16. (Power series) Given a sequence {c n }, the series. c n x n = c 0 + c 1 x + c 2 x 2 + c 3 x 3 + 62. Power series Defiitio 16. (Power series) Give a sequece {c }, the series c x = c 0 + c 1 x + c 2 x 2 + c 3 x 3 + is called a power series i the variable x. The umbers c are called the coefficiets of

More information