Lecture 20: Thermal design

Size: px
Start display at page:

Download "Lecture 20: Thermal design"

Transcription

1 EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 20: Thermal design Guest Lecturer: Prof. Mircea Stan ECE Dept., University of Virginia Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware circuit design Thermal sensors References: - Intel Technology Journal: - IBM Journal of Research and Development: - IEEE Transactions on Components and Packaging Technologies - IEEE Transactions on VLSI Systems - IEEE Journal on Solid-State Circuits 2 1

2 Why should you care about thermals? Temperature affects: Circuit performance Circuit power (especially leakage) System reliability IC and system packaging cost Environment 3 Circuit Performance vs. Temperature Temperature => Transistor threshold and carrier mobility Temperature => Transistor threshold and carrier mobility W I ( ) α DS = µ Cox VGS VTh 2L Temperature => Performance? Temperature => Performance? Source: E Long, WR Daasch, R Madge, B Benware, Detection of Temperature Sensitive Defects Using ZTC VLSI Test Symposium,

3 Leakage vs. Temperature -3 log IDS [log A] Subthreshold slope S>ln10 kt/q V GS [V] k = 1.38x10^-23 q = 1.6x10^-19 kt/q = 25.9mV at 27C = 23.5mV at 0C (273K) = 32mV at 100C (373K) S = kt/q ln10 (1+Cd/Ci) 2 Vg VTh Vds W kt mkt q kt q Ids = µ e 1 e L q [Taur, Ning] EECS241 Lecture 3 5 Leakage Power Fraction of leakage power increasing: exponentially with each generation exponentially dependent on temperature Percentage Static power/ Dynamic Power Increasing ratio for new technology nodes Temperature(K) 180nm 130nm 100nm 90nm 80nm 70nm Source: Sankaranarayanan et al, University of Virginia 6 3

4 Reliability The Arrhenius Equation: MTF=A*exp (E a /k*t) MTF: mean time to failure at T A: empirical constant E a : activation energy k: Boltzmann s constant T: absolute temperature Failure mechanisms: Die metalization (Corrosion, Electromigration, Contact spiking) Oxide (charge trapping, oxide breakdown, hot electrons) Device (ionic contamination, second breakdown, surface-charge) Die attach (fracture, thermal breakdown, adhesion fatigue) Interconnect (wirebond failure, flip-chip joint failure) Package (cracking, whisker and dendritic growth, lid seal failure) 7 System Packaging Cost Today Grid computing: power plants co-located near compute farms IBM S/390: refrigeration Source: R. R. Schmidt, B. D. Notohardjono High-end server low temperature cooling IBM Journal of R&D 8 4

5 IC Packaging Cost IBM S/390 processor subassembly: complex! C4: Controlled Collapse Chip Connection (flip-chip) Source: R. R. Schmidt, B. D. Notohardjono High-end server low temperature cooling IBM Journal of R&D 9 Desktop processor, simpler, but still Pentium 4, Itanium Source: Intel web site 10 5

6 Environment Environment Protection Agency (EPA): computers consume 10% of commercial electricity consumption This includes peripherals, possibly also manufacturing A DOE report suggested this percentage is much lower No consensus, but it s still a lot Equivalent power (with only 30% efficiency) for AC CFCs used for refrigeration Lap burn Fan noise 11 Ultimate Effect: Thermal Runaway Temperature => Leakage power => Temperature Loop gain > 1 trouble! Source: Tom s Hardware Guide

7 Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware circuit design Thermal sensors 13 What do we mean by thermals? Anything that has to do with heat/temperature Heat is a form of energy transfer Temperature is a measure of entropy and determines heat flow Source:

8 Heat mechanisms Heat Conduction: phonons, vibrations Heat Convection: fluid molecules movement Heat Radiation: photons, EM waves Phase change: boiling, sublimation, condensation, etc. Heat storage: specific heat Refrigeration: move heat backwards Other many mechanisms 15 Conduction Similar to electrical conduction (e.g. metals are good conductors) Heat flow from high temperature to low temperature Microscopic (vibration, adjacent molecules, electron transport) In a material: typically in solids (fluids: distance between mol) Typical example: thermal slug, spreader, heatsink A Source: CRC Press, R. Remsburg Ed. Thermal Design of Electronic Equipment,

9 Convection Macroscopic (bulk transport, mix of hot and cold, energy storage) Need material (typically in fluids, liquid, gas) Natural vs. forced (air or liquid) Typical example: heatsink (fan), liquid cooling Source: CRC Press, R. Remsburg Ed. Thermal Design of Electronic Equipment, Simplistic Thermal Model Most thermal transfers: R = k/a Power density matters! Ohm s law for thermals (steady-state) V = I R -> T = P R T_hot = P Rth + T_amb Ways to reduce T_hot: - reduce P (power-aware) - reduce Rth (packaging) - reduce T_amb (move to Alaska?) - maybe also take advantage of transients (Cth) 18 9

10 Simplistic Dynamic Model Electrical-thermal duality V temp (T) I power (P) R thermal resistance (Rth) C thermal capacitance (Cth) RC time constant KCL differential eq. I = C dv/dt + V/R difference eq. V = I/C t + V/RC t thermal domain T = P/C t + T/RC t (T = T_hot T_amb) One can compute stepwise changes in temperature for any granularity at which one can get P, T, R, C 19 IC with die, package, heatsink R = T/Q R = V/I Rja = Rjc + Rcs + Rsa = (Tj - Ta)/Q Rsa = ((Ts - Ta)/Q) - Rjc - Rcs 20 10

11 Hot spots in Power4 Temperature landscape : space and time How to estimate early in the design cycle? 21 Trends in Power Density Watts/cm i386 Hot plate i486 Nuclear Reactor Pentium 4 Pentium Pro Pentium Pentium III Pentium II 1.5µ 1µ 0.7µ 0.5µ 0.35µ 0.25µ 0.18µ 0.13µ 0.1µ 0.07µ Rocket Nozzle Source: New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies Fred Pollack, Intel Corp

12 Thermals for low-power ICs Different: little self-generated heat But Cheaper packaging (higher Rth): challenge More extreme ambient (freezing to hot) Temporal thermal effects more important than spatial 23 Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware circuit design Thermal sensors 24 12

13 How do you model thermals? Source: Electro-thermal circuit simulation using simulator coupling Wunsche, S. Clauss, C. Schwarz, P. Winkler, F. IEEE Transactions on VLSI Systems, Sep Why need to model thermals? Power metrics are not acceptable proxy Chip-wide average will not capture hot spots Localized average will not capture lateral coupling Different units have different power densities 26 13

14 Power electronics: long time ago! Integrated-circuit thermal modeling Castello, R. Antognetti, P., IEEE Journal of Solid- State Circuits Jun Model (package) Vertical heat flow 28 14

15 Model (die) Block granularity (architecture) Grid (circuits) Also lateral flow 29 Spatial behavior - Hot Spots Source: W. Huang, S. Ghosh, K. Sankaranarayanan, K. Skadron, and M. R. Stan. Compact Thermal Modeling for Temperature-Aware Design. 41st ACM/IEEE Design Automation Conference (DAC), June

16 Time-Varying Behavior Hot Spots mesa 31 Tool validation: on-chip measurements M. R. Stan, K. Skadron, M. Barcella, W. Huang, K. Sankaranarayanan, and S. Velusamy. HotSpot: A Dynamic Compact Thermal Model at the Processor-Architecture Level. Microelectronics Journal: Circuits and Systems, Dec

17 Dynamic validation: measurements Micred test chip, transient vs. HotSpot 33 Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware circuit design Thermal sensors 34 17

18 What can you do about thermals? Better estimates of performance, power, reliability Optimize at design time (e.g. package co-design) Adapt at run-time 35 The Role of a Thermal Model helps close loop for accurate design estimations: static or dynamic Power Model Thermal Model Performance Model Reliability Model 36 18

19 Self-consistent leakage 37 Design flow: still work in progress! 38 19

20 Package co-design For 200 traces (TPC-C, SPEC, Microsoft) Thermal design point can be reduced to 75% of true max power with minimal performance loss Aggressive clock gating Application variations Underutilized resources Source: Intel 39 Thermal Performance Graph How to select a heat sink Seri Lee, Aavid Thermal Technologies

21 Adapt at run-time Designed for Cooling Capacity w/out DTM Temperature Designed for Cooling Capacity w/ DTM DTM Trigger Level System Cost Savings DTM Disabled DTM/Response Engaged Time Source: David Brooks Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware circuit design Thermal sensors 42 21

22 Temperature-Aware circuit design Power: first-order design constraint max power consumption: limits power delivery sustained power dissipation: limits thermal design/packaging average active power and idle power consumption: limit battery life, etc. fallacy: instantaneous power temperature Power-aware design: maximize performance for given power Low-power design: minimize power for required performance Temperature-aware design: performance, power, reliability: function of T T function of power density, ambient T maximize performance for given thermal envelope related to Power Density 43 Performance and Leakage Temperature (Berkeley PTM 70nm CMOS): Transistor threshold and mobility Subthreshold leakage, gate leakage Ion, Ioff, delay 44 22

23 Temperature-aware circuits Robustness constraint: sets Ion/Ioff ratio Robustness and reliability: Ion/Igate ratio 70nm CMOS, 1.2V, 110 o C Ion/Ioff ~ 1000 Ion/Igate ~ Idea: keep ratio constant with T Trade leakage for performance Ref: Ghoshal et al. Refrigeration Technologies, ISSCC 2000 Garrett et al. T3, ISCAS Adaptive Ion/Ix control Ion/Ioff = B/A = ct. through ABB Temperature-aware circuits (TAC) patent (2004) 46 23

24 Resulting voltages Wide range: -.4V < Vbb <.4V; 1.2V < Vdd < 1.3V Almost linear Robust to inter-die parameter variations Needs trimming for setpoint Margin for intra-die parameter variations Active cooling or natural thermal landscape 47 Resulting performance 25% extra performance (110 o C to 0 o C) only NMOS 13% from low temperature alone "#! 48 24

25 Temperature-Aware SRAM Pre-Charge Bit Cell Access Transistors (N1) Bit Decoders Wordlines (Number of Entries) Cell Sense Amps Bitlines (Data Width of Entries) Number of Ports Number of Ports Worst-case bitline leakage limits performance 49 SRAM Read time Same circuit, different application 6T SRAM memory: reverse application (heating) 70nm process (200mV threshold) Zero biasing at low temperature 50 25

26 SRAM bit-line sensing Differential sensing (100mV bitline difference) 128 cells per bit line Faster read even if higher RBB, smaller Ion 51 Electro-thermal simulations A rational formulation of thermal circuit models for electrothermalsimulation. I. Finite element method [power electronic systems] Jia Tzer Hsu Vu-Quoc, L. Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions 52 26

27 Also need electro-thermal models Electro-thermal circuit simulation using simulator coupling Wunsche, S. Clauss, C. Schwarz, P. Winkler, F. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on Sep SOI circuits SOI thermal impedance extraction methodology and its significance for circuit simulation Wei Jin Weidong Liu Fung, S.K.H. Chan, P.C.H. Chenming Hu Electron Devices, IEEE Transactions on Apr

28 Refrigeration conventional vs. thermo-electric (TEC) Can get T < T_amb (Rth < 0!) TEC: Peltier effect (can use for local cooling) 55 TEC electro-thermal model 56 28

29 Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware design Thermal sensors 57 Sensors needed for run-time Thermocouples voltage output Junction between wires of different materials; voltage at terminals is T ref T junction Often used for external measurements Thermal diodes voltage output Biased p-n junction; voltage drop for a known current is temperature-dependent Biased resistors (thermistors) voltage output Voltage drop for a known current is temperature dependent You can also think of this as varying R Example: 1 K metal snake BiCMOS, CMOS voltage or current output Rely on reference voltage or current generated from a reference band-gap circuit; or simple ring oscillators with no reference Relative (just need to adapt) vs. Absolute sensors (need actual T) May need a Reference typically a Bandgap circuit 58 29

30 Typical Sensor Configuration PTAT Proportional to Absolute Temperature 59 Absolute Sensor Delta V gs Current Reference Generator and Delay Cell Syal, Lee, Ivanov, Altet, Online Testing Workshop,

31 Sensors: Problem Issues Poor control of CMOS transistor parameters Noisy environment Cross talk Ground noise Power supply noise These can be reduced by making the sensor larger This increases power dissipation But we may want many sensors 61 Calibration Accuracy vs. Precision Analogous to mean vs. stdev Calibration deals with accuracy The main issue is to reduce inter-die variations in offset Typically requires per-part testing and configuration Basic idea: measure offset, store it, then subtract this from dynamic measurements 62 31

32 Recap: Thermal Design Why should you care about thermals? What do we mean by thermals? How do you model thermals? What can you do about thermals? Temperature-aware circuit design Thermal sensors Questions? 63 32

A Short Tutorial on Thermal Modeling and Management

A Short Tutorial on Thermal Modeling and Management A Short Tutorial on Thermal Modeling and Management Kevin Skadron, Mircea Stan, co-pis Wei Huang, Karthik Sankaranaryanan Univ. of Virginia HotSpot group Cooking-aware computing Some chips rated for 100

More information

Implications on the Design

Implications on the Design Implications on the Design Ramon Canal NCD Master MIRI NCD Master MIRI 1 VLSI Basics Resistance: Capacity: Agenda Energy Consumption Static Dynamic Thermal maps Voltage Scaling Metrics NCD Master MIRI

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

A Leakage Control System for Thermal Stability During Burn-In Test

A Leakage Control System for Thermal Stability During Burn-In Test A Leakage Control System for Thermal Stability During Burn-In Test Mesut Meterelliyoz, Hamid Mahmoodi, and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability 1 Analysis of Temporal and Spatial Temperature Gradients for IC Reliability UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-24-8 MARCH 24 Zhijian Lu, Wei Huang, Shougata Ghosh, John Lach, Mircea

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Technical Notes. Introduction. PCB (printed circuit board) Design. Issue 1 January 2010

Technical Notes. Introduction. PCB (printed circuit board) Design. Issue 1 January 2010 Technical Notes Introduction Thermal Management for LEDs Poor thermal management can lead to early LED product failure. This Technical Note discusses thermal management techniques and good system design.

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000 Power in Digital CMOS Circuits Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2004 by Mark Horowitz MAH 1 Fruits of Scaling SpecInt 2000 1000.00 100.00 10.00

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Interconnect Lifetime Prediction for Temperature-Aware Design

Interconnect Lifetime Prediction for Temperature-Aware Design Interconnect Lifetime Prediction for Temperature-Aware Design UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-23-2 NOVEMBER 23 Zhijian Lu, Mircea Stan, John Lach, Kevin Skadron Departments

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage Lei He, Weiping Liao and Mircea R. Stan EE Department, University of California, Los Angeles 90095 ECE Department,

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Memory Thermal Management 101

Memory Thermal Management 101 Memory Thermal Management 101 Overview With the continuing industry trends towards smaller, faster, and higher power memories, thermal management is becoming increasingly important. Not only are device

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology EECS240 Spring 2013 Lecture 2: CMOS Technology and Passive Devices Lingkai Kong EECS Today s Lecture EE240 CMOS Technology Passive devices Motivation Resistors Capacitors (Inductors) Next time: MOS transistor

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part - Circuits Dr.. J. Wassell Gates from Transistors ntroduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits The

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Elad Alon 1, Tsu-Jae King Liu 1, Vladimir Stojanovic 2, Dejan Markovic 3 1 University of California, Berkeley 2 Massachusetts

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Low power Architectures. Lecture #1:Introduction

Low power Architectures. Lecture #1:Introduction Low power Architectures Lecture #1:Introduction Dr. Avi Mendelson mendlson@ee.technion.ac.il Contributors: Ronny Ronen, Eli Savransky, Shekhar Borkar, Fred PollackP Technion, EE department Dr. Avi Mendelson,

More information

Compact Thermal Modeling for Temperature-Aware Design

Compact Thermal Modeling for Temperature-Aware Design Compact Thermal Modeling for Temperature-Aware Design Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan Shougata Ghosh, Sivakumar Velusamy Departments of Electrical and Computer Engineering,

More information

Low Power CMOS Dr. Lynn Fuller Webpage:

Low Power CMOS Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email: Lynn.Fuller@rit.edu Department

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff ESE534 Computer Organization Today Day 8: February 10, 2010 Energy, Power, Reliability Energy Tradeoffs? Voltage limits and leakage? Variations Transients Thermodynamics meets Information Theory (brief,

More information

Lecture 4: Technology Scaling

Lecture 4: Technology Scaling Digital Integrated Circuits (83-313) Lecture 4: Technology Scaling Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Temperature Issues in Modern Computer Architectures

Temperature Issues in Modern Computer Architectures 12 Temperature Issues in Modern omputer Architectures Basis: Pagani et al., DATE 2015, ODES+ISSS 2014 Babak Falsafi: Dark Silicon & Its Implications on Server hip Design, Microsoft Research, Nov. 2010

More information

Low-Voltage Analog Temperature Sensors in SC70 and SOT23 Packages

Low-Voltage Analog Temperature Sensors in SC70 and SOT23 Packages 19-2040; Rev 1; 6/01 Low-Voltage Analog Temperature Sensors General Description The precision, low-voltage, analog output temperature sensors are available in 5-pin SC70 and SOT23 packages. The devices

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Intel Stratix 10 Thermal Modeling and Management

Intel Stratix 10 Thermal Modeling and Management Intel Stratix 10 Thermal Modeling and Management Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 List of Abbreviations...

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

ELEC 3908, Physical Electronics, Lecture 18. The Early Effect, Breakdown and Self-Heating

ELEC 3908, Physical Electronics, Lecture 18. The Early Effect, Breakdown and Self-Heating ELEC 3908, Physical Electronics, Lecture 18 The Early Effect, Breakdown and Self-Heating Lecture Outline Previous 2 lectures analyzed fundamental static (dc) carrier transport in the bipolar transistor

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Torsten Hauck*, Wim Teulings*, Evgenii Rudnyi ** * Freescale Semiconductor Inc. ** CADFEM GmbH Abstract In this paper we will

More information

Comparative Analysis of Practical Threshold Voltage Extraction Techniques for CMOS. Yu-Hsing Cheng ON Semiconductor October 15, 2018

Comparative Analysis of Practical Threshold Voltage Extraction Techniques for CMOS. Yu-Hsing Cheng ON Semiconductor October 15, 2018 Comparative Analysis of Practical Threshold Voltage Extraction Techniques for CMOS and LDMOS Devices in 180 nm Technology Yu-Hsing Cheng ON Semiconductor October 15, 2018 Outline Overview and Background

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 Ročník 2012 Číslo VI The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 1 Department of Microelectronics, Faculty of Electrical Engineering and

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES 598 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 16, NO 5, MAY 2008 design can be easily expanded to a hierarchical 64-bit adder such that the result will be attained in four cycles

More information

Delay and Energy Consumption Analysis of Conventional SRAM

Delay and Energy Consumption Analysis of Conventional SRAM World Academy of Science, Engineering and Technology 13 8 Delay and Energy Consumption Analysis of Conventional SAM Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, and Ali Barati Abstract

More information

Breakdown Characterization

Breakdown Characterization An Array-Based Test Circuit it for Fully Automated Gate Dielectric Breakdown Characterization John Keane, Shrinivas Venkatraman, Paulo Butzen*, and Chris H. Kim *State University of Rio Grande do Sul,

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Minki Cho, William Song, Sudhakar Yalamanchili, and

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

Temperature Sensors & Measurement

Temperature Sensors & Measurement Temperature Sensors & Measurement E80 Spring 2014 Contents Why measure temperature? Characteristics of interest Types of temperature sensors 1. Thermistor 2. RTD Sensor 3. Thermocouple 4. Integrated Silicon

More information