Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Size: px
Start display at page:

Download "Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes"

Transcription

1 Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University {vijay, mji, G. McFarland Intel Corporation Abstract Power is considered to be the major limiter to the design of more faster and complex processors in the near future. In order to address this challenge, a combination of process, circuit design and micro-architectural changes are required. Consequently, to focus the optimization efforts in the right direction, the models proposed and studies performed in this work are a first step for understanding the relative importance of leakage and dynamic energy in future technologies. Further, we analyze the effectiveness of two energy reduction mechanisms that employ voltage scaling, namely, supply and threshold voltage selection. We consider the impact of imminent technology changes and packaging improvements while showing that neglecting the impact of temperature may lead to underestimate the power savings by up to 19.5%. 1. Introduction Energy dissipation has become an important design consideration, which can be attributed to the proliferation of battery-driven mobile systems and concerns about circuit reliability and packaging costs. In fact, power is widely considered to be the major impediment for more powerful high-performance processors. For CMOS circuits, the major sources of power consumption are dynamic and leakage power, with the latter becoming more significant as threshold voltages scale with technology. In order for devising new solutions to address the increasingly important power problem, it is essential for circuit designers and architects to have a mechanism to analyze future trends accurately and understand the relative importance of these components. There is a lot of literature that deals with the impact of technology scaling in the various aspects of VLSI circuit design [3, 4] and this paper does not intend to be one more with the same perspective. Here, we go a step further by providing a systematic approach to analyzing the dynamic and leakage energy trends. Further, we evaluate the anticipated effectiveness of supply voltage scaling that is widely used for energy optimization in current processors and compare it to a threshold voltage scaling approach. This is done considering the impact of technology and packaging improvements, as well as the key role of the operating temperature. 2. Effects of scaling on power consumption The dynamic power consumption of a given design has been usually estimated as: 2 P = N C V ( Act) f act t avg dd clock Where N t is the number of transistors in the design, C avg is average capacitive load, V dd is the power supply, f clock is the operating frequency and Act is the activity factor, which accounts for the number of devices that are actually switching. We calculate C ave = C gate_ave + C drain_ave + C wire_local, with gate and diffusion capacitances estimated as normally [9] for an average-size device. The interconnect component is calculated as C wire_local = C wire/um. L local, where C wire/um is the wire associated capacitance per unit length and L local is made equal to 10 times the minimum feature (λ) as connections are only to neighboring cells. Please refer to [1] for more details in the extraction of C wire/um. The contribution of short-circuit currents will become of lesser importance for deep-submicron technologies, in particular since the threshold voltage (V th ) scales down at a slower rate than V dd [9]. The cycle time is estimated as: LDCavgVdd T cycle = 1 NtVdd Ion( Act) = Pact = fclock Ion LD Where I ON is the drive current for an average-size device and L D is the logic depth (i.e., number of gate delays) of the slowest pipeline stage. The result obtained after replacing f clock in the power equation, is also given above. Following a starting reference number given in [1] for a 0.6um technology, we have scaled down L D by a constant factor up to the point were deeper pipelining is basically non-feasible as the latching time cost becomes comparable to the evaluation time of the logic between the registers. Similarly, we have scaled up the activity factor as a way to capture architectural improvements for enhanced Instruction Level Parallelism (ILP). In [8], a Acknowledgements: This work was supported in part by GSRC grant 98-DT-660, NSF Career Awards and D. Duarte was with the Department of Electrical Engineering, Pennsylvania State University while developing this work.

2 value of Act = is used and we choose it as the base value for the 0.6um design. This number may seem very low but it captures the impact of aggressive clock gating, which is standard in current designs. The scaling factor of about 0.75 for L D was selected for consistency with industry data. Besides L D and Act, all other factors in the power equation given above scale with technology at a predictable rate depending on the scaling laws followed. We have used the scaling models presented in [1] and we have found a fairly good agreement of the main technology parameters with those presented by the ITRS roadmap [7]. We have assumed that short-channel effects (SCE) dominate and the effect of Drain Induced Barrier Lowering (DIBL) is captured. The number of transistors (N t ) is estimated by dividing the total die area by the area of an average-size device with individual contacts and some spare area around it. This approach attempts to balance the effect of very compact structures (such as memories) and other structures not so regular (such as datapaths). Two cases are considered: a constant (80mm 2 ) and a variable die size, with the latter assuming an increase of 14% in die size from one technology generation to the next [3]. The first case can be seen as a low-end or embedded design, where simple clocking mechanisms are desirable, while the second one can be regarded as a high-performance design. Now, to estimate leakage power consumption due to subtreshold currents we use the following expression [2]: P = N V I K leak t dd off design Where K design is a factor that accounts for the distribution/sizing of P and N devices, the stacking effect, the idleness of the devices and the design style used. This factor is defined empirically, and there is no analytical expression for it. In [2], experiments show that K design for logic is around 10, while for memory structures it is about 1. Based on the area used by logic and memory structures, we estimate an average K design of 2, as the area used for memory structures tends to be 85% in nanometer technologies. For details about how the logic and memory areas were determined, please refer to [6]. Subthreshold conduction is not the only leakage mechanism but it has by far the largest impact, which is worsened when DIBL effects are considered. The subthreshold current was estimated as [1]: µ CoxW Vgs Vth Cdep I sub = ( η 1) φ 2 T exp = + L where η 1 eff ηφt Cox In the above equations, µ is the carrier mobility, φ T is the thermal voltage (=KT/q) and C dep is the capacitance of the channel depletion region. The gate leakage estimates based on direct oxide tunneling effects were found to be almost completely negligible for the technologies studied. Figure 1. Active and leakage power (constant die size) Figure 2. Active and leakage power (increasing die size). Figures 1 and 2 illustrate how the estimates of dynamic and leakage power (obtained with the equations given) vary across the technologies considered. Note that we have only captured the influence of subthreshold currents, as they are the dominant leakage mechanism. Additionally, the effect of temperature has also been taken into account and from the plots, it is clear that it has a deep impact in the way that power (leakage power, in particular) behaves. For more details about the modeling of these effects please refer to [6] 3. Impact of technology and packaging There are two technology improvements that are expected to become standard in mainstream CMOS products within the next five years [10]. The first technique proposes replacing SiO 2 with high permittivity materials. The thickness of the inversion layer beneath the oxide makes the apparent electrical thickness significantly larger than the actual physical thickness, with deviations in the range of 0.5nm to 1.0nm [11]. It now seems very likely that in the 0.1um generation and later, gate oxides will be fabricated with high-k materials such that the physical thickness will remain approximately constant while the electrical thickness is reduced. These materials are also expected to dramatically reduce gate leakage due to a higher oxide energy barrier (φ B ).

3 The second improvement is the replacing of Bulk CMOS by SOI (Silicon On Insulator). SOI has a significant impact on power by virtually eliminating diffusion capacitance and allowing for steeper subthreshold slopes (S T ). In particular, in bulk CMOS, S T is approximately 100mV/dec, while in SOI S T becomes 75mV/dec, at 100 O C. It should be noted that the former effect (elimination of diffusion capacitances) is beneficial but does not return much as interconnect capacitance takes place as the second contributor to the total parasitic capacitance for technologies where SOI is expected to become standard (0.1um and beyond). It was found that, after the two mentioned technology improvements are incorporated, while subthreshold currents decrease due to the use of SOI, the use of high-k dielectrics helps maintaining the impact of gate leakage to a minimum. Figure 3 captures the impact of the mentioned improvements in the total system power, estimated with the equations given earlier. We assume that dynamic power remains the same as the bulk CMOS case, following assumptions made earlier. In the optimum case (when DIBL effects are effectively minimized by SOI), leakage is always less than active power for the technologies considered. But as process variations continue to influence the device parameters, the actual effect is not ideal but translates into delaying the surge of leakage power by one technology generation (i.e., for this study, from 0.035um to 0.025um, as shown in Figure 3). CPU package, interfaces, heat sink and any forced air mechanisms, if present. Typical heat-sink thermal resistances vary with the geometry of the sink. For mobile devices, extruded heat sinks are in the order of O C/W while vapor-chamber folded-fin sinks are in the order of O C/W. For further details, please refer to [5]. We have used the above equation to determine what would be the required θ ja values to maintain the junction temperature down to safe levels. The ITRS roadmap has defined that for mobile designs (constant die size) T j and T a should be 100 O C and 55 O C, while for high performance designs (increasing die size) T j and T a should be 85 O C and 45 O C, respectively. The bars in Figure 4 show how θ ja must change to guarantee the T j given above for the two design cases. This behavior can be analytically described by average reductions in θ ja of 33% and 48% per generation for lowend and high-performance cases, respectively. This estimation was, however an overkill. It was found that average reductions of 26% and 43% per generation, will work well until leakage power becomes significant, as shown by the lines in Figure 4. It must be highlighted that thermal resistance depends strongly on the cost of all associated components and also on the volume of the heat sink [5]. For the study that follows, our default case assumes DIBL effects and an operating temperature of C, as technology improvements and limitations of efficient cooling mechanisms compensate each other. Figure 3. Impact of SOI and high-k dielectrics in leakage system power (constant die size). In parallel with technology improvements, the impact of packaging and cooling mechanisms should be accounted for. In fact, the ITRS roadmap has stated that power consumption will be strongly determined by how effectively heat is removed from the die. The following equation shows how the total power and the die temperature are related to each other [5]: T T = θ Power j a Where θ ja is the thermal resistance and T j and T a are the junction and ambient temperatures, respectively. The thermal resistance captures the thermal behavior of the ja Figure 4. Thermal resistance and non-ideal temperature behavior. 4. Reducing power and temperature The chosen techniques for this study are based on dynamic adjustment, at runtime, of some basic operating parameters (such as V dd and V th ). Since these run-time techniques adversely affect performance, smart policies must be devised in order to apply them wisely in real designs. Moreover, due to the strong relationship of leakage power with temperature, it is important to accurately model any temperature change associated with

4 the application of a given technique such that a better estimate is obtained. performance accentuates for the three cases considered when the decrease in V dd is larger than about 20% Supply voltage dynamic scaling Reduction of the nominal supply voltage gives a significant reduction on power consumption at the expense of performance, as the drive current capability (I on ) reduces and the operating frequency must be reduced as well. Thus, Dynamic Voltage Scaling (DVS) schemes must be applied whenever the system operating requirements allow it. We now explore whether such schemes would be as useful in future technologies and whether DVS should be implemented in parallel with supply gating schemes as leakage power become dominant. We consider three base technologies, which were selected to provide three different power consumption scenarios. These are summarized in Table 1. We consider the case where the die size has not been scaled up, which can be viewed as an initial step towards lowering power consumption. The results are easily extendable to the case where die scaling takes place. Table 1. Technologies used for evaluation. Tech (µm) Total Power (W) Dynamic Power (%) Leakage Power (%) Figures 5 and 6 present the expected power and performance changes (as estimated with the equation for T cycle on Section 2) as the nominal V dd is scaled down up to about 40%. Figure 5 shows two cases; the dashed lines represent the instantaneous power savings after the change is applied (short-term policy). If the temperature is allowed to settle (long-term policy), the device leakage current reduces, causing a further reduction in the power consumed which ends up reaching a stable point given the linear relationship of power versus temperature and the logarithmic one of leakage versus temperature. The threshold that separates a long-term policy from a shortterm policy depends on how effectively the heat is removed from the die, such that its temperature follows closely any change in power consumption. It should be noted that, in the long-term case, all technologies basically converge to the same curve in terms of power reduction and temperature (the minimum temperature reached was 58 0 C). The figures also show that, even though the attainable power reduction is almost linear with the change on V dd, the negative effect on Figure 5. Power variation as V dd Figure 6. Delay variation as V dd There are some problems associated with V dd scaling. In memory structures, as cell capacitances decrease, the amount of charge they can store reduces and makes them more susceptible to soft errors. Another problem is increased threshold variation in very short channel devices due to random dopant variation in the channel, which affects the cell stability during read processes. These two conditions worsen with V dd scaling. The latter phenomenon might be fixed by increasing the beta ratio of the cell (the ratio of the NMOS pulldown to the NMOS pass device), which unfortunately prevents the memory cells from taking full advantage of process scaling. Thus, it is likely that memory arrays in processors implemented in 0.1um processes and beyond will need a separate power supply, higher than that used by the processor core or they will simply not be able to be scaled as the core, resulting in non-optimum area utilization Threshold voltage impact Threshold scaling by substrate biasing has been proposed and used as an effective way to reduce leakage power consumption. Although this technique has been applied for reducing leakage only when a unit or the whole system is idle, we explore now the feasibility of applying body bias control at run-time and system-wide.

5 The results of this section can also be used in assessing the impact of implementing a design in a Dual-V th process. Figure 7. Power variation as V th > 3V TH, so that enough current drive is available and performance is not dramatically harmed Supply and threshold voltage scaling The following experiments assume variations on V dd and V th, according to the relative contributions of dynamic and leakage power to the total power number, respectively. Figures 9 and 10 present the results obtained when both V th and V dd are scaled for a total of 14 steps, with a maximum performance penalty of 16%. The starting V dd values were the nominal ones and they were lowered by steps of 15mV, 10.5mV and 5.5mV such that final variations of 23%, 21% and 14% at step 14 were reached, for 0.07, 0.05 and 0,035um technologies, respectively. Similarly, the base V th value was the nominal and steps of 1.9mV, 2.5mV and 2.4mV were used in order to reach final variations at step 14 of 14%, 21% and 23%. Figure 8. Delay variation as V th Figures 7 and 8 present the expected power and performance changes as the nominal V th is scaled up to by 70%, which directly impacts the average value of I ON. Larger increments on V th are possible when the technique is applied to idle units. We observe that increments on V th for overall power reduction become more effective as technology scales, at the expense of increased performance penalty. And as before, the impact of temperature is significant. For instance, to achieve a 20% reduction in power in a 0.035um design, short-term policies will require a 11% change in V th while long-term policies only require a 5% change in V th. The gap between the two cases decreases for larger changes in V th and less aggressive technologies. This effect is enhanced by a lower operating temperature, which in the higher threshold voltage setting was reported to be 78, 67 and 56 0 C for 0.07, 0.05 and 0.035um processes, respectively. The figures also show that, although the negative effect on performance is almost linear with the increase on V th, the attainable power reduction presents a steeper rate of change for the three cases considered for increases on V th up to about 20%. It was found that the required body bias voltage that will change V th by 70% is lower than the operating voltage of each technology. In threshold voltage selection, it must be guaranteed that V dd Figure 9. Power variation as V dd and V th change. Figure 10. Delay variation as V dd and V th change. If a short-term policy is implemented, we observe that the attainable power savings converge to a common trend, as shown in Figure 9. But the trend changes in the case of long-term policies where the savings are largest for the 0.035um technology and decrease for less aggressive processes. The effect is enhanced by a lower operating temperature, which in step 14 was found to be 68, 64 and 61 0 C for 0.07, 0.05 and 0.035um processes, respectively.

6 5. Concluding remarks We have presented a complete framework for the estimation of the impact of technology scaling in the power behavior of future designs. It also accounts for changes in architecture design and optimizations, aspect that we have called architectural scaling. We have used the mentioned framework to evaluate the effectiveness of various power reduction techniques. It was found that supply voltage scaling becomes less effective in providing power savings as leakage power becomes larger, which is reasonable given the quadratic dependence of the dynamic power with V dd in contrast with the linear dependence of the leakage power. On the other hand, power savings obtained by increasing the threshold voltage are more significant as leakage power becomes dominant. Again, this is also reasonable given the logarithmic dependence of the leakage power on V th, in contrast with the linear dependence of the dynamic power. An integrated scheme that uses both supply and threshold voltage scaling will provide the highest savings for the least amount of change in the controllable parameters. Table 2. Additional percentage power savings provided by temperature feedback. Tech (um) Additional Savings % V dd Scaling V th Scaling V dd / V th Scaling Average Maximum Average Maximum Average Maximum It was found, however, that the above observations change significantly if the application of certain scheme is held for some relatively long time (which we called longterm policy). In such a case, the decrease of power consumption causes a decrease in temperature, which in turn will reduce leakage power significantly (temperature feedback). Table 2 shows the additional percentage savings that can be obtained if the die is allowed to cool down after a power reduction scheme is applied, which can be as high as 19.5%. It is clear that additional savings increase as leakage becomes more important. This result emphasizes the importance of including runtime parameters, such as temperature, if accurate estimations are to be obtained. Also, design time optimizations such as technology and packaging improvements should be accounted for, as discussed in Section 3. We hope that framework proposed here can be used in a way that the goal is no longer to have simply the highest performance, but instead the highest performance within a particular market segment's power budget and by considering the physical aspects of the real design. For instance, with the estimates given here, it will be possible to balance the benefits of using the high threshold devices in a low leakage process running at the higher possible frequency at a full V dd versus using faster but leakier devices which require more voltage scaling in order to reach the desired power budget. Cases like these might lead the design team to select some optimum percentage of total power to be from leakage, which would be a function of the power budget being targeted. In the extreme case, if a process increases leakage greatly such that V dd has to be reduced to the extent of making the design slower than the previous generation, then this is clearly a bad choice. It is possible that analysis like the one presented here will lead to the definition of L eff, V dd, T ox, and V th that will keep leakage power near its optimum percentage for a given processor. 6. References [1] Mc. Farland, G., CMOS Technology Scaling and Its Impact on Cache Delay, PhD. Thesis, Stanford University, June 1997, ~farland/. [2] Butts, J. and Sohi, G., A Static Power Model for Architects, Proceedings of the 33 rd Annual IEEE MICRO 2000, pp [3] Borkar, S., Design Challenges of Technology Scaling, IEEE Micro, July-August 1999, pp [4] Sylvester, D., et al., Future Performance Challenges in Nanometer Design, Proc. of the 38 th DAC, pp [5] Viswanath, R., et al., Thermal Performance Challenges from Silicon to Systems, Intel Technology Journal, 3 rd quarter, [6] Duarte D., Clock Network and Phase-Locked Loop Power Estimation and Experimentation, PhD. Thesis, Penn State University, May [7] ITRS Roadmap, [8] Chen, Z., Diaz, C., et al., 0.18um Dual Vt MOSFET Process and Energy-delay Measurement, International Electronic Devices Meeting, 1996, pp [9] Rabaey, J., Chandrakasan, A. and Nikolic, B., Digital Integrated Circuits: A Design Perspective, 2 nd Ed., Prentice-Hall International, NJ, 2002 (draft). [10] Intel Corporation, Intel Announces Breakthrough In Chip Transistor Design, pressroom/archive/releases/ tech.htm. [11] Hu, C., "Gate Oxide Scaling Limits and Projection", IEDM, 1996, pp

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The July 30, 2002 1 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Long Channel MOS Transistors

Long Channel MOS Transistors Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended to Metal-Oxide-Semiconductor Field-Effect transistors (MOSFET) by considering the following structure:

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

A Leakage Control System for Thermal Stability During Burn-In Test

A Leakage Control System for Thermal Stability During Burn-In Test A Leakage Control System for Thermal Stability During Burn-In Test Mesut Meterelliyoz, Hamid Mahmoodi, and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

VTCMOS characteristics and its optimum conditions predicted by a compact analytical model

VTCMOS characteristics and its optimum conditions predicted by a compact analytical model VTCMOS characteristics and its optimum conditions predicted by a compact analytical model Hyunsik Im 1,3, T. Inukai 1, H. Gomyo 1, T. Hiramoto 1,2, and T. Sakurai 1,3 1 Institute of Industrial Science,

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Nanometer Transistors and Their Models. Jan M. Rabaey

Nanometer Transistors and Their Models. Jan M. Rabaey Nanometer Transistors and Their Models Jan M. Rabaey Chapter Outline Nanometer transistor behavior and models Sub-threshold currents and leakage Variability Device and technology innovations Nanometer

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 10 MOSFET part 1 guntzel@inf.ufsc.br ual-well Trench-Isolated

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Chapter 5 MOSFET Theory for Submicron Technology

Chapter 5 MOSFET Theory for Submicron Technology Chapter 5 MOSFET Theory for Submicron Technology Short channel effects Other small geometry effects Parasitic components Velocity saturation/overshoot Hot carrier effects ** Majority of these notes are

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The evices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

EE5311- Digital IC Design

EE5311- Digital IC Design EE5311- Digital IC Design Module 1 - The Transistor Janakiraman V Assistant Professor Department of Electrical Engineering Indian Institute of Technology Madras Chennai October 28, 2017 Janakiraman, IITM

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Lecture #27. The Short Channel Effect (SCE)

Lecture #27. The Short Channel Effect (SCE) Lecture #27 ANNOUNCEMENTS Design Project: Your BJT design should meet the performance specifications to within 10% at both 300K and 360K. ( β dc > 45, f T > 18 GHz, V A > 9 V and V punchthrough > 9 V )

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 14 The CMOS Inverter: dynamic behavior (sizing, inverter

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

MOSFET Model with Simple Extraction Procedures, Suitable for Sensitive Analog Simulations

MOSFET Model with Simple Extraction Procedures, Suitable for Sensitive Analog Simulations ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 10, Number 2, 2007, 189 197 MOSFET Model with Simple Extraction Procedures, Suitable for Sensitive Analog Simulations S. EFTIMIE 1, ALEX. RUSU

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 08 MOS Inverters - III Hello, and welcome to today

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view) ENGR89 Digital VLSI Design Fall 5 Lecture 4: CMOS Inverter (static view) [Adapted from Chapter 5 of Digital Integrated Circuits, 3, J. Rabaey et al.] [Also borrowed from Vijay Narayanan and Mary Jane Irwin]

More information

EE241 - Spring 2005 Advanced Digital Integrated Circuits. Admin. Lecture 10: Power Intro

EE241 - Spring 2005 Advanced Digital Integrated Circuits. Admin. Lecture 10: Power Intro EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 10: Power Intro Admin Project Phase 2 due Monday March 14, 5pm (by e-mail to jan@eecs.berkeley.edu and huifangq@eecs.berkeley.edu) Should

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

Introduction and Background

Introduction and Background Analog CMOS Integrated Circuit Design Introduction and Background Dr. Jawdat Abu-Taha Department of Electrical and Computer Engineering Islamic University of Gaza jtaha@iugaza.edu.ps 1 Marking Assignments

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

The Pennsylvania State University. The Graduate School. Department of Electrical Engineering CLOCK NETWORK AND PHASE-LOCKED LOOP POWER ESTIMATION

The Pennsylvania State University. The Graduate School. Department of Electrical Engineering CLOCK NETWORK AND PHASE-LOCKED LOOP POWER ESTIMATION The Pennsylvania State University The Graduate School Department of Electrical Engineering CLOCK NETWORK AND PHASE-LOCKED LOOP POWER ESTIMATION AND EXPERIMENTATION A Thesis in Electrical Engineering by

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Why Power Matters PE/EE 47, PE 57 VLSI Design I L5: Power and Designing for Low Power Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 4, 2008, 383 395 A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs Andrei SEVCENCO,

More information

Lecture #39. Transistor Scaling

Lecture #39. Transistor Scaling Lecture #39 ANNOUNCEMENT Pick up graded HW assignments and exams (78 Cory) Lecture #40 will be the last formal lecture. Class on Friday will be dedicated to a course review (with sample problems). Discussion

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Lecture 11: MOSFET Modeling

Lecture 11: MOSFET Modeling Digital Integrated Circuits (83-313) Lecture 11: MOSFET ing Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 18 June 2017 Disclaimer: This course was prepared, in its entirety,

More information

CHAPTER 5 MOS FIELD-EFFECT TRANSISTORS

CHAPTER 5 MOS FIELD-EFFECT TRANSISTORS CHAPTER 5 MOS FIELD-EFFECT TRANSISTORS 5.1 The MOS capacitor 5.2 The enhancement-type N-MOS transistor 5.3 I-V characteristics of enhancement mode MOSFETS 5.4 The PMOS transistor and CMOS technology 5.5

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

1 cover it in more detail right away, 2 indicate when it will be covered in detail, or. 3 invite you to office hours.

1 cover it in more detail right away, 2 indicate when it will be covered in detail, or. 3 invite you to office hours. 14 1 8 6 IBM ES9 Bipolar Fujitsu VP IBM 39S Pulsar 4 IBM 39 IBM RY6 CDC Cyber 5 IBM 4381 IBM RY4 IBM 381 Apache Fujitsu M38 IBM 37 Merced IBM 36 IBM 333 Vacuum Pentium II(DSIP) 195 196 197 198 199 NTT

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA MOS Transistors Prof. Krishna Saraswat Department of Electrical Engineering S Stanford, CA 94305 saraswat@stanford.edu 1 1930: Patent on the Field-Effect Transistor! Julius Lilienfeld filed a patent describing

More information

Lecture 4: Technology Scaling

Lecture 4: Technology Scaling Digital Integrated Circuits (83-313) Lecture 4: Technology Scaling Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its

More information

EE105 - Fall 2005 Microelectronic Devices and Circuits

EE105 - Fall 2005 Microelectronic Devices and Circuits EE105 - Fall 005 Microelectronic Devices and Circuits ecture 7 MOS Transistor Announcements Homework 3, due today Homework 4 due next week ab this week Reading: Chapter 4 1 ecture Material ast lecture

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

A Multi-Gate CMOS Compact Model BSIMMG

A Multi-Gate CMOS Compact Model BSIMMG A Multi-Gate CMOS Compact Model BSIMMG Darsen Lu, Sriramkumar Venugopalan, Tanvir Morshed, Yogesh Singh Chauhan, Chung-Hsun Lin, Mohan Dunga, Ali Niknejad and Chenming Hu University of California, Berkeley

More information

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements

The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements The Effects of Process Variations on Performance and Robustness of Bulk CMOS and SOI Implementations of C-Elements A Thesis Submitted for the Degree of Doctor of Philosophy in the Faculty of Engineering

More information