A Simplified Circuit to Model RC Interconnect

Size: px
Start display at page:

Download "A Simplified Circuit to Model RC Interconnect"

Transcription

1 A Simplified Circuit to Model C Interconnect Patricia enault, Pirouz Bazargan-Sabet ASIM/LIP6, rue Cuvier - Paris Abstract: In very deep submicron technologies, the parasitic capacitor and resistance can have a significant impact on propagation delay and functional failure. Several methods consist evaluatg the put delay or givg an approximation of the put signal. These methods are really simple and are easily used timg analysis. However, they are unusable functional failure analysis such as crosstalk noise analysis. In this paper we propose to model rc-circuits with a simplified circuit composed by a resistor, a capacitor and several current sources. The simplified circuit can easily be tegrated a crosstalk noise evaluation tool. Its accuracy is demonstrated on several large rc-circuits. Keywords: C-Interconnect, Modellg, Verification Tool. Introduction Multi-million transistor circuits are made usg the latest processes. The features of these technologies clude an creased number of metal levels, thner metal width, creased wire height versus width ratio and smaller wire spacg. These new features troduce new cause of failure. This is the reason why designers spend up to 8 % of a design on the verification step. Therefore, some new verification tools are needed to check the robustness of VLSI circuits agast these causes with a reasonable computation time. It is well known that some up to lately neglected physical effects submicron technologies, such as parasitic capacitor and resistance, can significantly affect the behaviour of the circuit (timg and/or functional failure). Nowadays, the design methodologies [] and tool, such as rer [], have to take to account these parasitic elements []. In real-size circuit, the C-circuits can have a really important number of parasitic elements (near or resistances and ground capacitors) and have a complex topology with crosstalk couplg capacitor. Thus, the parasitic Ccircuits can not be tegrated to verification tools. We propose to reduce the complexity of the C-circuit modelg the C-circuits with a simplified circuit wich can be tegrated to verification tools. The method is composed by two step. First, we compute the put waveform accordg to the put waveform. Then, we determe the parameters of the simplified model. In this article we expose the method used to compute the put waveforms accordg to the put waveform. The two ma methods used to reduce rc terconnect trees are the Elmore delay metric [] and the first three moments method [5]. Despite it beg more than 5 years old, the Elmore delay metric is today widely used current physical design tool. The popularity of the metric is maly due to its efficiency and ease of use. ecently, the first three moments method gives the explicit expression for the delay as a function of the first three moments of the impulse response. These methods give an approximation of the delay due to terconnect. However, this delay can not be used to determe the crosstalk noise. The crosstalk noise is the noise duce on a steady state signal called victime by its neigbourg wire called aggressors. The active aggressors are the aggressors, which are makg a transition, and the silent aggressors the aggressors, which are a steady state. In addition, the secondary victims are the signals coupled with the aggressors. Many models have been proposed to estimate the peak value of the noise produced on a victim [6] [] [7]. Some of them take to account the resistance-capacitance of the terconnect, others focus on studyg the noise produced by the simultaneous transition of several aggressors. Now, we expose our model that ignores the rc of the terconnect but gives a satisfyg estimation of the peak produced on the victim by several aggressors usg a simple approach [8]. Note that we called victim the studied signal and aggressors the signals coupled with the victim. The active aggressors are the aggressors, which are makg a transition, and the silent aggressors the aggressors, which are a steady state. In addition, the secondary victims are the signals coupled with the aggressors.this model takes to consideration some second order effects such as the existence of silent aggressors and secondary victims and is composed by three successive approximations: replacg signal s drivers by a simple resistance replacg silent aggressors and secondary victims by equivalent capacitors replacg active aggressors by an equivalent current

2 source i k (t) =I k e t/τi k Then, the fal equivalent circuit of a victim coupled with n aggressors is shown figure. V i v i n Fig. : Fal equivalent circuit C V When the put signal makes a transition, we know that the put waveform is: x (t) = 6 a i.e t τ i + V DD () where a i are called the coefficients and τ i the time constant. The figure shows the electrical simulation of the rc-circuit with six nodes when the resistors are equal to 5Ω and the capacitors to pf and pf. We can see that the capacitor values modify the signal slope and the time where the signal is near zero. And the victim s waveform is expressed as 5 pf pf x v (t) = v n I k k= τ ik τ v τ ik.(e t/τv e t/τi k ) () where τ v = v C v As we have seen, for each victim, determg which of its aggressors may commute the same time is a key pot the noise analysis. With the put stability period and the propagation delay through the gates, we determed the noise configuration [9]. A noise configuration is defed as a subset of aggressors that may commute the same time. An event-driven approach, based on the evaluation of stability periods, is used to make distction between active and silent aggressors. The experience shows that the error compared to an electrical simulation remas less than 5%. However, this method doesn t take to account the rc-circuit of terconnection. In this paper, we propose to model the rc-circuits with couplg capacitance order to add them the crosstalk noise evaluation tool. The next section presents the simplified circuit used to represent the victim and aggressors. In the section, we show how this model can be tegrated to the noise evaluation tool. Section details the method used to calculated the parameters of the simplified circuit. Some results are shown section 5. The last section exposes the concludg remarks and the future works.. Victim model Let s consider a simple rc-circuit composed by six resistors and capacitors (Fig ) Time (ps) Fig. : Electrical simulation We propose to model the put signal with a delay and an exponential function. The exponential function is obtaed with a resistor lv and a capacitor C lv. The victim model is represented figure. lv Fig. : Victim model Figure 5 shows an electrical simulation of the victim model. When the put signal makes a transition from V SS to V DD the put signal can be expressed as ˆx (t) = t [, ] V DD.( e (t ) τv ) t [, + [ () 5 C C C C C Fig. : C-circuit with six nodes C. Aggressor model In [8] the active aggressors a i are replaced by an equivalent current source defed as I ai e t τa i where I ai is the current maximum and τ ai the time constant. This approximation is really simple and the current generated by several

3 5 lv (t δ ai ) τa i I e ai Fig. 8: for a rc-circuit composed by one aggressor Time (ps) Fig. 5: Output signal of the simplified circuit aggressors can be added. We have chosen to use a similar approximation. However, order to take to account the rc-circuit, we have to modify this model. Let s consider a victim composed by n nodes coupled with an aggressor (see figure 6). n n describe [8]. The current source is I ai e t δa i τa i. Figure 8 shows the simplified circuit of a victim coupled with one aggressor. The put signal can be expressed as ˆx (t) = t [,δ a ] I aτ a.( e t δa τa ) t [δ a,δ a + ] C lv I a τ a [( τ a.e τa ).e t (δa+ ) τv C lv τ a τ v + τ v.e t δa τa ] t [δ a +, + [ τ a τ v () The simplified circuit of a rc-circuit composed by n aggressors is shown figure 9. a lv I e a i δ (t a ) δan τ a τ an I e an (t ) Fig. 6: Victim coupled with an aggressor Figure 7 shows the electrical simulation of a steady-state victim composed by and 6 nodes coupled with an aggres- Fig. 9: for a rc-circuit composed by n aggressors nodes nodes Integration a crosstalk evaluation tool Let s consider an put signal i coupled with n active aggressors. The simplified circuit is shown figure. v and C v represent the victim driver. The resistor and capacitor of the aggressor drivers are take to account the current sources. v Cv lv I e a i δ (t a ) δan τ a τ an I e an (t ) Time (ps) Fig. 7: Crosstalk noise due to the transition of the aggressor sor which makes a transition from V SS to V DD. We can see that the noise is significant after some delay. Thus, we propose to add a delay δ a to the current source Fig. : of an put signal i coupled with n active aggressors We have seen the troduction that the stability period can be computed with the gate delay and the stability periods of the put signals. But, the modelization of the rccircuit adds some delay on the aggressor terconnection.

4 Thus, to determe which aggressors make a transition the same time, we have to take to consideration the delay δ a the static stability analysis. Fally, the simplified circuit for the crosstalk noise analysis is shown figure. V DD Voltage v Cv v lv I e a t τ a I e an t τ an Time Fig. : for crosstalk noise analysis We have G v.x v + C v.x v = G lv.x G lv.x + C lv x = G lv.x v + where G v = v + lv et G lv = x can be written as lv x (t) =K.e r.t + K.e r.t + I ai.e t τ ai (5) K ai e t τ ai (6) where τ, τ are the time constants and depend of the values of the resistors and capacitors. K, K and K ai are some coefficient. In order to determe the crosstalk noise of the signal, we determe with the Newton-aphson method the time t bruit which x (t bruit )=. Then, the noise peak is V bruit = K.e r.t bruit + K.e r.t bruit + Determg the parameters K ai e t bruit τ ai. Victim parameters Let s consider the put signal of a rc-circuit composed by n nodes. When the put signal makes a transition from V SS to V DD, the put signal is x (t) = (7) a i e hi.t + V DD (8) The put signal obtaed with the simplified circuit is : ˆx (t) = t [, ] V DD.( e (t ) τv ) t [, + [ where τ v = lv C lv Figure gives an example of the put signal obtaed with the itial and simplified circuit. (9) Fig. : Output signal obtaed with the itial and simplified circuit We have to determe τ v and such as ˆx is a good approximation of x. We note d the distance between two functions and defed as d(f,g) = + (f(t) g(t)).dt () g is a good approximation of f if the distance between f and g is mimal. We seek to defe ˆx such as d(ˆx,x ) is mimal. d(ˆx,x ) = = + + (x (t) ˆx (t)) dt ( a i e hi.t + V DD ) dt + ( a i e hi.t V DD.e (t ) τv ) dt () In order to fd the mimal value of d(ˆx,x ) accordg to and τ v we try to solve this equation system With d(ˆx,x ) = F (,τ v )= τ v d(ˆx,x ) = F (,τ v )= F (,τ v ) = V DD.V DD F (,τ v ) = V DD.V DD n a i +h i τ v e hi () a i ( + h i τ v ) e hi () This equation system is not lear. So we use the Newton- aphson method with partial derivation to solve this equation system. With an itial value of the solution (,τ v ) we determe (,τ v ),, (n,τ vn ) which converges to the solution. With an element (i,τ vi ), the next element is computed solvg the next matrix equation F (i,τ vi ) F (i,τ vi ) ( ) τ v F (i,τ vi ) F F (i,τ vi ) = τ v (i,τ vi ) F (i,τ vi ) τ v ()

5 where τ v = i+ i = τ vi+ τ vi. Aggressor parameters Let s consider the put signal obtaed when the coupled aggressors are makg a transition from V SS to V DD. x (t) = a i e hi.t (5) The put expression of the simplified circuit is ˆx (t) = t [,δ a ] I aτ a.( e t δa τa ) t [δ a,δ a + ] C lv I a τ a [( τ a.e t (δa +) τa ).e τv C lv τ a τ v + τ v.e t δa τa ] t [δ a +, + [ τ a τ v (6) Figure shows an example of put signal of itial and simplified circuit. xm Voltage tm Time Fig. : Output signal of itial rc-circuit and of simplified circuit. Method to fd the parameters with an electrical simulation We have seen how to determe the parameters for the victim and for the aggressors with the put signal defed as the sum of exponential function. In a real size rc-circuit, the computation time necessary to fd the exact formula of the put signal can be really important. Thus, we propose a technique to determe the parameters for both victim and aggressor with the result of an electrical simulation. With an electrical simulation, we obta a set of pot that represent the put signal : x is defed for i {,,n} x (t i ). In order to approximate a function, we use the distance defed as d(ˆx,x )= N (x (t i ) ˆx (t i )) (8) Then, we can compute the victim and aggressor parameters replacg ˆx with the put expression obtaed with the simplified circuit and usg the Newton-aphson method. 5 esults In this section we compare the results obtaed with the itial rc-circuit and the simplified circuit. Figure shows the electrical simulation of the itial and simplified circuit. The itial circuit is composed by nodes with aggressor. Its put makes a transition. We can see that the simplified circuit curve is near zero before the delay and after has a form of an exponential. The curves of the itial and simplified circuit are really closed. Here, we used the same distance criteria to determe a good approxitaion of x. For the case of a victim coupled with an aggressor the peak value is particularly important. Thus, we propose to mimize the distance between ˆx and x with the next constrat : The peak noise obtaed with the simplified circuit must occur at the same time and have the same value that the peak obtaed with the itial circuit. 5 We obta this equation system F (δ a,τ a,i a )= d(ˆx,x ) = δ a F (δ a,τ a,i a )=x (t max ) = F (δ a,τ a,i a )=ˆx (t max ) x (t max )= (7) The Newton-aphson method usg partial derivative solves this system. e 8 e 8 e 8 e 8 5e 8 6e 8 Time (s) Fig. : versus itial rc-circuit Figure 5 shows the put signal of a rc-circuit composed by nodes with one aggressor when the victim put signal is stable to V SS and the aggressor put signal makes a transition from V SS to V DD and the simplified circuit.

6 e 9 e.5e e Time (s) Fig. 5: versus itial rc-circuit We can see that the simplified circuit put is null for t [,δ a ]. Then, befor the peak, the curves obtaed with the itial and simplified circuit are closed. The peak obtaed with the two curves has the same value. But, after the peak, the simplified circuit gives a satisfyg approximation of the itial circuit. The table presents the results obtaed with the itial circuit (a victim coupled with two aggressors which are makg a transition the same time) and simplified circuit. The error is the difference of the peaks obtaed with the simplified and itial circuit divided by V DD. Peak(simpl. circuit) Peak(it. circuit) Error = V DD (9) Peak value when V DD =5V Number Simplified Initial Error of node circuit (V) circuit (V) %..9.% % % % % % Table : Peak value and error obtaed with the proposed method compared to an electrical simulation We can see that the error is equal to.56% for a -nodes circuit and remas less than %. 6 Conclusion and future works Signal tegrity is becomg a major issue the verification process of high performance designs. C-circuit is one of the factors that may cause timg or functional failure the circuit. In this paper, we have presented a model to represent rccircuit. This model is really simple and can easily be tegrated a crosstalk noise evaluation tool. The experience shows that the error of the proposed model rema less than %. The method used to determe the simplified circuit parameters is based on the analytic put signal expression or on the results of an electrical simulation. In addition, we can apply this model on the results of methods, which determe the put waveform accordg of the put transition, such as the first three moments methods. In the futur, some new parasitic elements will have a significant impact on verification tools : the ductance of terconnect. A more accurate model has to be develop takg to consideration the L of the terconnect and a method for computg its parameters. eferences []. Saleh, D. Overhauser, and S. Taylor, Full-chip of udsm designs, ICCAD, IEEE/ACM, 998, pp [] H. Zhou and D. Wong, An optimal algorithm for river rg with crosstalk contrats, ICCAD, IEEE/ACM, 996, pp. 5. [] P. B. Morton and W. Dai, An efficient sequential quadratic programmg formulation of optimal wire spacg for crosstalk noise avoidance rg, Proceedgs of the ISPD, 999. [] W. C. Elmore, The transient response of damped lear networks with particular regard to wideband amplifiers, Journal of applied physics, vol. vol. 9, January 98. [5] B. Tutuianu, F. Dartu, and L. Pileggi, An explicit rccircuit delay approximation based on the first three moments of the impluse response, DAC, IEEE/ACM, 996. [6] P. Chen and K. Keutzer, Towards true crosstalk noise analysis, Proceedgs of the ICCAD, 999. [7] A. B. Kahng, S. Muddu, and D. Vidhani, Noise and delay uncertaly studies for coupled rc terconnects, Proceedgs of the ASIC/SOC, 999. [8] P. enault, P. Bazargan-Sabet, and D. L. Du, A mos transistor model for peak voltage calculation of crosstalk noise, Proceedgs of the ICECS,. [9] P. Bazargan-Sabet and P. enault, Usg symbolic simulation to exhibit worst case crosstalk noise configuration, Proceedgs of the LATW,.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Efficient Crosstalk Estimation

Efficient Crosstalk Estimation Efficient Crosstalk Estimation Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi Department of Electrical and Computer Engineering University of Minnesota, Minneapolis MN 55455, USA Email: fkuhlmann,

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 Digital Integrated Circuits (83-313) Lecture 5: Interconnect Semester B, 2015-16 Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1 What will we learn today? 1 A First Glance at Interconnect 2 3

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Miller Factor for Gate-Level Coupling Delay Calculation

Miller Factor for Gate-Level Coupling Delay Calculation for Gate-Level Coupling Delay Calculation Pinhong Chen Desmond A. Kirkpatrick Kurt Keutzer Dept. of EECS Intel Corp. Dept. of EECS U. C. Berkeley icroprocessor Products Group U. C. Berkeley Berkeley, CA

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engeerg Department of Electrical Engeerg and Computer Sciences Elad Alon Homework # Solutions EECS141 PROBLEM 1: VTC In this problem we will analyze the noise

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect ISSN -77 (Paper) ISSN -87 (Online) Vol.4, No.7, - National Conference on Emerging Trends in Electrical, Instrumentation & Communication Engineering Closed Form Expressions for Delay to Ramp Inputs for

More information

Lecture 9: Interconnect

Lecture 9: Interconnect Digital Integrated Circuits (83-313) Lecture 9: Interconnect Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 23 May 2017 Disclaimer: This course was prepared, in its entirety,

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1 Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 James Morizio 1 Introduction Chips are mostly made of wires called interconnect In stick diagram,

More information

Outline. Introduction Delay-Locked Loops. DLL Applications Phase-Locked Loops PLL Applications

Outline. Introduction Delay-Locked Loops. DLL Applications Phase-Locked Loops PLL Applications Introduction Delay-Locked Loops DLL overview CMOS, refreshg your memory Buildg blocks: VCDL PD LF DLL analysis: Lear Nonlear Lock acquisition Charge sharg DLL Applications Phase-Locked Loops PLL Applications

More information

EE115C Digital Electronic Circuits Homework #5

EE115C Digital Electronic Circuits Homework #5 EE115C Digital Electronic Circuits Homework #5 Due Thursday, May 13, 6pm @ 56-147E EIV Problem 1 Elmore Delay Analysis Calculate the Elmore delay from node A to node B using the values for the resistors

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Interconnects. Introduction

Interconnects. Introduction Interconnects Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters ECE 261 Krish Chakrabarty 1 Introduction Chips are mostly made of ires called interconnect In stick diagram,

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Capacitance - 1 The parallel plate capacitor Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Charge separation in a parallel-plate capacitor causes an internal

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout 0/6/06 Homework # Lecture 8, 9: Sizing and Layout of omplex MOS Gates Reading: hapter 4, sections 4.3-4.5 October 3 & 5, 06 hapter, section.5.5 Prof. R. Iris ahar Weste & Harris vailable on course webpage

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

DesignConEast 2005 Track 4: Power and Packaging (4-WA1)

DesignConEast 2005 Track 4: Power and Packaging (4-WA1) DesignConEast 2005 Track 4: Power and Packaging (4-WA1) Design of a Low-Power Differential Repeater Using Low-Voltage Swing and Charge Recycling Authors: Brock J. LaMeres, University of Colorado / Sunil

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation Performance Characterization Topics Performance Characterization Resistance Estimation Capacitance Estimation Inductance Estimation Performance Characterization Inverter Voltage

More information

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville

CPE/EE 427, CPE 527 VLSI Design I Delay Estimation. Department of Electrical and Computer Engineering University of Alabama in Huntsville CPE/EE 47, CPE 57 VLSI Design I Delay Estimation Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) Review: CMOS Circuit

More information

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA

Gate Delay Calculation Considering the Crosstalk Capacitances. Soroush Abbaspour and Massoud Pedram. University of Southern California Los Angeles CA Gate Delay Calculation Considering the Crosstalk Capacitances Soroush Abbaspour and Massoud Pedram University of Southern California Los Angeles CA Asia and South Pacific Design Automation Conference 2004

More information

On Bounding the Delay of a Critical Path

On Bounding the Delay of a Critical Path On Bounding the Delay of a Critical Path Leonard Lee lylee@ece.ucsb.edu Li-C. Wang licwang@ece.ucsb.edu Department of Electrical and Computer Engineering University of California - Santa Barbara Santa

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Jing-Jia Liou Angela Krstić Kwang-Ting Cheng Deb Aditya Mukherjee Sandip Kundu ECE Department, University

More information

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching

Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching Zhong Wang, Jianwen Zhu Electrical and Computer Engineering 1 King s College Road University of Toronto, Ontario M5S 3G4,

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Driver Models For Timing And Noise Analysis

Driver Models For Timing And Noise Analysis Driver Models For Timing And Noise Analysis Bogdan Tutuianu and Ross Baldick Abstract: In the recent years, the impact of noise on chip level signals has become a significant source of static timing errors.

More information

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays Chapter 9 Estimating circuit speed 9.1 Counting gate delays The simplest method for estimating the speed of a VLSI circuit is to count the number of VLSI logic gates that the input signals must propagate

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

A Gate-Delay Model for High-Speed CMOS Circuits *

A Gate-Delay Model for High-Speed CMOS Circuits * Gate-Delay Model for High-Speed CMOS Circuits * Florentin Dartu, Noel Menezes, Jessica Qian, and Lawrence T Pillage Department of Electrical and Computer Engineering The University of Texas at ustin, ustin,

More information

Robust Analytical Gate Delay Modeling for Low Voltage Circuits

Robust Analytical Gate Delay Modeling for Low Voltage Circuits Robust Analytical Gate Delay Modeling for Low Voltage Circuits Anand Ramalingam, Sreeumar V. Kodaara, Anirudh Devgan, and David Z. Pan Department of Electrical and Computer Engineering, The University

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Analytical Delay Models for VLSI Interconnects Under Ramp Input

Analytical Delay Models for VLSI Interconnects Under Ramp Input Analytical Delay Models for VLSI Interconnects Under amp Input Andrew B. Kahng, Kei Masuko, and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 995-596 USA Cadence Design Systems, Inc.,

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS

CHAPTER 15 CMOS DIGITAL LOGIC CIRCUITS CHAPTER 5 CMOS DIGITAL LOGIC CIRCUITS Chapter Outline 5. CMOS Logic Gate Circuits 5. Digital Logic Inverters 5.3 The CMOS Inverter 5.4 Dynamic Operation of the CMOS Inverter 5.5 Transistor Sizing 5.6 Power

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

5. CMOS Gate Characteristics CS755

5. CMOS Gate Characteristics CS755 5. CMOS Gate Characteristics Last module: CMOS Transistor theory This module: DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Transistor ehavior 1) If the width of a transistor

More information

Harmonic Distortion Modeling of Fully-BalancedThird-order Butterworth Gm-C Filter by a Block Diagram Approach

Harmonic Distortion Modeling of Fully-BalancedThird-order Butterworth Gm-C Filter by a Block Diagram Approach 0 nternational Conference on Computer Science and nformation Technology (CCST 0) PCST vol. 5 (0) (0) ACST Press, Sgapore DO: 0.776/PCST.0.V5.40 Harmonic Distortion Modelg of Fully-BalancedThird-order Butterworth

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION

TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION Journal of Circuits, Systems, and Computers Vol. 8, No. 7 (29) 263 285 c World Scientific Publishing Company TRANSIENT RESPONSE OF A DISTRIBUTED RLC INTERCONNECT BASED ON DIRECT POLE EXTRACTION GUOQING

More information

Two-pole Analysis of Interconnection Trees *

Two-pole Analysis of Interconnection Trees * wo-pole Analysis of Interconnection rees * Andrew B. Kahng and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 90024-1596 USA Abstract We address the two-pole simulation of interconnect

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Peter Feldmann IBM T. J. Watson Research Center Yorktown Heights, NY Soroush Abbaspour, Debjit Sinha, Gregory

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 14 121011 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Steady-State Analysis RC Circuits RL Circuits 3 DC Steady-State

More information

Physics 116A Notes Fall 2004

Physics 116A Notes Fall 2004 Physics 116A Notes Fall 2004 David E. Pellett Draft v.0.9 Notes Copyright 2004 David E. Pellett unless stated otherwise. References: Text for course: Fundamentals of Electrical Engineering, second edition,

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits esign Perspective esigning Combinational Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit Out State Combinational

More information

Inductance, RL Circuits, LC Circuits, RLC Circuits

Inductance, RL Circuits, LC Circuits, RLC Circuits Inductance, R Circuits, C Circuits, RC Circuits Inductance What happens when we close the switch? The current flows What does the current look like as a function of time? Does it look like this? I t Inductance

More information

Buffer Delay Change in the Presence of Power and Ground Noise

Buffer Delay Change in the Presence of Power and Ground Noise Buffer Delay Change in the Presence of Power and Ground Noise Lauren Hui Chen* Malgorzata Marek-Sadowska** Forrest Brewer** *Synopsys Inc. Mountain View CA USA ** Electrical and Computer Engineering Department

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Department of Electrical Engineering and Computer Sciences University of California, Berkeley. Final Exam Solutions

Department of Electrical Engineering and Computer Sciences University of California, Berkeley. Final Exam Solutions Electrical Engineering 42/00 Summer 202 Instructor: Tony Dear Department of Electrical Engineering and omputer Sciences University of alifornia, Berkeley Final Exam Solutions. Diodes Have apacitance?!?!

More information

EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010

EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010 Signature: EECS 312: Digital Integrated Circuits Midterm Exam 2 December 2010 obert Dick Show your work. Derivations are required for credit; end results are insufficient. Closed book. No electronic mental

More information

Basic RL and RC Circuits R-L TRANSIENTS: STORAGE CYCLE. Engineering Collage Electrical Engineering Dep. Dr. Ibrahim Aljubouri

Basic RL and RC Circuits R-L TRANSIENTS: STORAGE CYCLE. Engineering Collage Electrical Engineering Dep. Dr. Ibrahim Aljubouri st Class Basic RL and RC Circuits The RL circuit with D.C (steady state) The inductor is short time at Calculate the inductor current for circuits shown below. I L E R A I L E R R 3 R R 3 I L I L R 3 R

More information

Problem Set 5 Solutions

Problem Set 5 Solutions University of California, Berkeley Spring 01 EE /0 Prof. A. Niknejad Problem Set 5 Solutions Please note that these are merely suggested solutions. Many of these problems can be approached in different

More information

! Dynamic Characteristics. " Delay

! Dynamic Characteristics.  Delay EE 57: Digital Integrated ircuits and LI Fundamentals Lecture Outline! Dynamic haracteristics " Delay Lec : February, 8 MO Inverter and Interconnect Delay 3 Review: Propogation Delay Definitions Dynamic

More information

ENERGY AND TIME CONSTANTS IN RC CIRCUITS By: Iwana Loveu Student No Lab Section: 0003 Date: February 8, 2004

ENERGY AND TIME CONSTANTS IN RC CIRCUITS By: Iwana Loveu Student No Lab Section: 0003 Date: February 8, 2004 ENERGY AND TIME CONSTANTS IN RC CIRCUITS By: Iwana Loveu Student No. 416 614 5543 Lab Section: 0003 Date: February 8, 2004 Abstract: Two charged conductors consisting of equal and opposite charges forms

More information

Implementation of MOSFET Based Capacitors for Digital Applications

Implementation of MOSFET Based Capacitors for Digital Applications Implementation of MOSFET Based apacitors for Digital Applications Bo Shen boshen@ece.tamu.edu Sunil P. Khatri sunil@ece.tamu.edu Department of Electrical & omputer Engeerg Texas A&M University ollege Station,

More information

Switching circuits: basics and switching speed

Switching circuits: basics and switching speed ECE137B notes; copyright 2018 Switching circuits: basics and switching speed Mark Rodwell, University of California, Santa Barbara Amplifiers vs. switching circuits Some transistor circuit might have V

More information

Power Grid Analysis Based on a Macro Circuit Model

Power Grid Analysis Based on a Macro Circuit Model I 6-th Convention of lectrical and lectronics ngineers in Israel Power Grid Analysis Based on a Macro Circuit Model Shahar Kvatinsky *, by G. Friedman **, Avinoam Kolodny *, and Levi Schächter * * Department

More information

EE/ME/AE324: Dynamical Systems. Chapter 7: Transform Solutions of Linear Models

EE/ME/AE324: Dynamical Systems. Chapter 7: Transform Solutions of Linear Models EE/ME/AE324: Dynamical Systems Chapter 7: Transform Solutions of Linear Models The Laplace Transform Converts systems or signals from the real time domain, e.g., functions of the real variable t, to the

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

MOSFET and CMOS Gate. Copy Right by Wentai Liu

MOSFET and CMOS Gate. Copy Right by Wentai Liu MOSFET and CMOS Gate CMOS Inverter DC Analysis - Voltage Transfer Curve (VTC) Find (1) (2) (3) (4) (5) (6) V OH min, V V OL min, V V IH min, V V IL min, V OHmax OLmax IHmax ILmax NM L = V ILmax V OL max

More information

ECE260B CSE241A Winter Interconnects. Website:

ECE260B CSE241A Winter Interconnects. Website: ECE260B CSE241A Winter 2004 Interconnects Website: http://vlsicad.ucsd.edu/courses/ece260b-w04 ECE 260B CSE 241A Interconnects 1 Outline Interconnects Resistance Capacitance and Inductance Delay ECE 260B

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Efficient Heuristics for Two-Echelon Spare Parts Inventory Systems with An Aggregate Mean Waiting Time Constraint Per Local Warehouse

Efficient Heuristics for Two-Echelon Spare Parts Inventory Systems with An Aggregate Mean Waiting Time Constraint Per Local Warehouse Efficient Heuristics for Two-Echelon Spare Parts Inventory Systems with An Aggregate Mean Waitg Time Constrat Per Local Warehouse Hartanto Wong a, Bram Kranenburg b, Geert-Jan van Houtum b*, Dirk Cattrysse

More information

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * Noel Menezes, Ross Baldick, and Lawrence T. Pileggi Department of Electrical and Computer Engineering The University of

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information