4-Bit ALU Circuit Glitch Reduction for Power Optimization

Size: px
Start display at page:

Download "4-Bit ALU Circuit Glitch Reduction for Power Optimization"

Transcription

1 4-Bit ALU Circuit Glitch Reduction for Power Optimization For ELEC 6270 Dr. Vishwani D. Agrawal By Hunter Thorington Fall 2015 Abstract ELEC 6270 is an elective offered by Auburn University s Dr. Vishwani D. Agrawal. This paper concludes a semester s worth of studies in low power circuit design. The project I was tasked with was: Redesign a 4-bit ALU circuit for glitch reduction and examine its power saving. In this final semester project, I developed my own VHDL model of a simple ALU and synthesized this design using Leonardo Spectrum. Following synthesis, I used the PTM High Performance 45nm Metal Gate model provided. After applying the model to the netlist, the design was simulated for a base line. Following initial characterization of the circuit, the circuit was enhanced for glitch reduction and low power operation by adding buffers on noncritical paths. The results show a marked 27% decrease in power consumption for the applied test vector. Keywords low power, CMOS, 45nm, gate delay, glitch reduction, power optimization, ALU, Auburn I. INTRODUCTION This report was written for ELEC 6270 Low Power Design of Electronic Circuits for Dr. Vishwani D. Agrawal. This class focused on the design of digital circuit systems for reduced power consumption, power analysis algorithsm, low power MOS technologies, low power design architectures for FPGA, memory and microprocessors and reduction of power in testing of circuits. The project I was assigned was Redesign a 4-bit ALU circuit for glitch reduction and examine its power saving. II. PROJECT BACKGROUND A. Glitch Reduction Circuit power is all about Dynamic Power. Dynamic power or CV2 2, is the amount of power consumed by each gate each time a transistion occurs. A glitch is defined as any intermediate change of state of a signal, whether from high to low or low to high during signal propagation before the final value is reached. These glitches cause unwanted power consumption. B. Boolean Gate Single Transition Theorem This theorem states that for correct operation with minimum energy consumption, a Boolean gate much produce no more than one event per transition. This means that for optimal power reduction signal arriving at the input of a Boolean gate much either arrive at the same time (assuming internal Boolean gate delays are equal) or arrive at nearly the same time in order to produce a single synchronized transition at the output of the gate. If each and every gate in the circuit is held to this theorem the circuit will have minimized power consumption due to glitches. C. Differential Path Delays A multi-input gate will inevitably have differential path delay. This delay occurs when the path from one input to the output respective to another input to the same output is different. Gate with differential path delay inherently introduce glitch power consumption into the circuit. Figure 1. Shows the glitch caused by a multi-input gate with differential path delay. Figure 1. Differential Path Delay In this figure The input A precedes the response of the gate to input B which lags by one time period, this produces a momentary high glitch on the output. This high output draws Figure 2. Glitch Reduced Using a Buffer Delay

2 power from the power supply, but is wasted because it soon returns to the expected value 0. Figure 2 demonstrates that by placing a delay buffer on input A this issue can be reduced. Notice in Figure 2 how the glitch is minimized. Therefore, to ensure glitch reduction, delay A, the input delay must be less than the delay B delay A. This is represented by the equation d < DPD. D. Delay Balance Method The buffer enhanced differential delay method can be extended to circuit wide glitch reduction. The balanced delay method treats the entire circuit as an effectively large Boolean gate, but rather than have delay buffers external to the gate the buffers are internal. Delay buffers are inserted on non-critical paths in the circuit to delay their response to be in time with the critical path. If this method is followed for all non-critical paths through the circuit, all paths will have the same delay. This results creates a circuit with minimal glitch power loss. E. Gate Delay Mathmatical Glitch Suppression Once can define gate delay, the time taken for a signal to propagate from input to output as di. The time differential for each input can be defined as ti the earlies arriving signal, and T i the latest arriving signal. To ensure a glitch is suppressed T i t i < d i F. Linear Programing Buffer Delay Minimization To achieve near optimal power reduction, the minimum number of buffers must be used. While this criterion is nonlinear, one can minimize the sum of the total buffer delays. By minimizing total buffer delay one ensures that a near optimal number of buffers are inserted into the circuit and draw no more power than necessary to reduce glitch power consumption. To many buffers (each drawing power themselves) can increase power consumption rather than reduce. G. ALU Example The slides provided example results from a previous student of this project. The project took a ALU, an industry standard DIP package ALU. The circuit was modified in an undisclosed manner and achieved an average of 21% power reduction. I began my design work for this project by studying the circuit and preparing to optimize the s design for glitch reduction. This proved to be a difficult task to large size of the nearing 75 gates. Also, the circuit schematic would have to be drawn by hand in Design Architect to get a base line. Due to time constraints, I decided to design my own ALU with fewer functions and write it in VHDL allowing it to be synthesized into a gate-level model with which I could then modify. III. PROJECT DESIGN A. VHDL Model of 4-Bit ALU The 4-Bit ALU model for this project was written in VHDL. The ALU has 4 functions add, subtract, add one, and subtract one. This design could clearly be extended to a larger design but was out of scope for this project. The ALU has two inputs A and B which are the two 4 bit unsigned arguments. The output is a 4 bit vector F. The function of the ALU is selected by setting the bus S. entity alu is port( Clk : in std_logic; --clock signal A,B : in unsigned(3 downto 0); --input operands S : in unsigned(1 downto 0); --Operation to be performed F : out unsigned(3 downto 0) --output of ALU ); end alu; architecture Behavioral of alu is signal t1,t2,t3: unsigned(3 downto 0) := (others => '0'); begin t1<= A; t2<= B; F<= t3; process(clk) begin if(rising_edge(clk)) then --Do the calculation at the positive edge of clock cycle. case S is when "00" => t3<= t1 + t2; --addition when "01" => t3<= t1 - t2; --subtraction when "10" => t3<= t1-1; --sub 1 when "11" => t3<= t1 + 1; --add 1 when others => NULL; end case; end if; end process; end Behavioral; B. Synthesis using Leonardo Spectrum The circuit was synthesized using Leonardo Spectrum into TSMC 035 design package for 35nm operation. The resulting circuit contained 26 block level gates and 54 total gates. Leonardo defined the critical path as follows and featured a 1.11ns delay time. Critical path #1, (unconstrained path) NAME GATE ARRIVAL LOAD S(0)/ up 0.04 ix1/y xnor dn 0.07 ix7/y xnor up 0.02 ix9/y xnor dn 0.03 ix134/y aoi up 0.02

3 ix146/y mux up 0.02 ix155/y mux up 0.02 ix89/y xnor dn 0.01 reg_t3(3)/d dff dn 0.00 data arrival time 1.11 C. Vector Selection HSPICE was used to simulate the circuit by applying a test vector set. The test vector set I used contained 1024 test vectors. This represented every possible input combination one time for each operation. These vectors were run 5ns apart for a total of 5120ns to profile the circuit for power consumption. D. Power Analysis Initial Circuit The power analayis for the un modified circuit was run using HSPICE. The result showed 336uW used before glitch reduction. ****** transient analysis tnom= temp= ***** avg_current= E-01 from= E+00 to= E-06 rms_current= E-01 from= E+00 to= E-06 avg_pow= E-01 from= E+00 to= E-06 rms_pow= E-01 from= E+00 to= E-06 powavg= E-01 powrms= E-01 E. Glitch Reduction Design Using Buffer Delays As given earlier, the critical path had a delay of 1.11ns. Using the gate level model of the circuit I determined 8 paths that could be optimized using buffer delays to bring their total path delay near 1.11 ns. These buffer delays are as follows: Path 1: S[1] ix129, ix1, ix7, ix136, ix134, new buffer, ix146, ix155, regt33 Buffer delay added 0.32ns Path 2: S[0], ix129, ix127, ix7, new buffer, ix134, ix146, ix155,ix89,reg33 Buffer delay added 0.33ns Path 3: S[1], new buffer, ix134, ix146, ix155, ix99, reg33 Buffer delay added 0.33ns Path 4: A[0], new buffer, ix11, regt30, Buffer delay added 0.93ns Path 5: S[1], ix127,ix7,ix9, new buffer,ix11 Buffer delay added 0.90ns Path 6: A[1], ix9,new buffer, ix87,ix63,reg32 Buffer delay added 0.27ns Path 7: S[1], ix35, new buffer, ix37,reg31 Buffer delay added 0.35ns Path 8: S[1], ix134, new buffer, ix37,reg31 Buffer delay added 0.38ns F. Power Analysis Final Circuit The power analayis for the modified circuit was run using HSPICE. The result showed 336uW used before glitch reduction. G. Power Analysis Initial Circuit The power analayis for the un modified circuit was run using HSPICE. The result showed 336uW used before glitch reduction. ****** transient analysis tnom= temp= ***** avg_current= E-01 from= E+00 to= E-06 rms_current= E-01 from= E+00 to= E-06 avg_pow= E-01 from= E+00 to= E-06 rms_pow= E-01 from= E+00 to= E-06 powavg= E-01 powrms= E-01 H. Results Comparison Average power consumption A. Results 4-Bit ALU Standard 4-Bit ALU w/ buffer delay glitch reduction % Difference 336uW 243uW 27.6% IV. CONCLUSION This simple ALU designed allowed me to tune in really good results. Using a minimal number of delay buffers, 8, on select non critical paths allow me to make 9 selected paths through the circuit has similar delays. This resulted in fewer glitches and decreased power consumption. While not all paths were optimized and the number of buffers and total buffer delay was not optimized using linear programming I feel this a good results considering the time constraints and project scope. Please see the following pages and appendixes for addition evidence of completed work. B. Overall This project and class was quite enjoyable. I really learned a lot about power reduction and how complex and simple it can be at the same time. Low power devices are the next generation devices that will be made. Battery power and mobile devices rely heavily on reduced power consumption. The design and analysis techniques learned in this class are very applicable to the job market today. I feel I have come away with actionable knowledge ready to be applied and expanded on in my career. REFERENCES [1] Vishwani D. Agrawal, James J. Danaher Professor of ECE 5/course.html [2] Victor P. Nelson, Professor of ECE

4 Circuit Design Before Buffers, Red Line Shows Critical Path Circuit Design After Buffers, Red Line Shows Critical Path, Green Arrows new Buffers

5

6 Waveforms demonstrating Glitch Power Reduction After: Glitch and the Power drawn from VDD. Wow! Big Reduction! Before: Glitch and the Power drawn from VDD

7

8 Appendix I. Spice Netlist and HSPICE analysis configuration parameters. * LVS netlist generated with ICnet by 'hlt0001' on Wed Apr at 22:39:34 * * Globals. *.inc '45nm_MGK.pm'.global GND VDD.param lam=0.045u.param t=300ns.param tr=0.01ns.param supply=1v.option post brief probe vclk Clk 0 pulse( n.1n 1n 2n) VDD VDD GND supply.vec 'aluvectors.vec' X_alu A[0] A[1] A[2] A[3] B[0] B[1] B[2] B[3] S[0] S[1] F[0] + F[1] F[2] F[3] Clk alu * * Component pathname : $ADK/parts/mux21 *.subckt mux21 S0 A0 A1 Y M_I$5 Y S0 N$10 VDD pmos L=0.4u W=.045u M_I$13 N$6 A1 GND GND nmos L=0.4u W=2u M_I$12 Y S0 N$6 GND nmos L=0.4u W=2u M_I$17 Y N$7 N$5 VDD pmos L=0.4u W=.045u M_I$16 N$5 A1 VDD VDD pmos L=0.4u W=.045u M_I$7 N$4 A0 GND GND nmos L=0.4u W=2u M_I$6 Y N$7 N$4 GND nmos L=0.4u W=2u M_I$4 N$10 A0 VDD VDD pmos L=0.4u W=.045u M_I$3 N$7 S0 GND GND nmos L=0.4u W=1u M_I$2 N$7 S0 VDD VDD pmos L=0.4u W=1.8u.ends mux21 * * Component pathname : $ADK/parts/inv01 *.subckt inv01 A Y M_I$411 Y A VDD VDD pmos L=0.4u W=1.8u M_I$412 Y A GND GND nmos L=0.4u W=1u.ends inv01 * * Component pathname : $ADK/parts/nand02 *.subckt nand02 Y A0 A1 M_I$472 Y A1 VDD VDD pmos L=0.4u W=2.4u M_I$471 Y A0 VDD VDD pmos L=0.4u W=2.4u

9 M_I$4 Y A0 N$7 GND nmos L=0.4u W=2u M_I$5 N$7 A1 GND GND nmos L=0.4u W=2u.ends nand02 * * Component pathname : $ADK/parts/xnor2 *.subckt xnor2 Y A0 A1 M_I$218 N$213 A1 GND GND nmos L=0.4u W=2u M_I$217 N$212 A0 N$213 GND nmos L=0.4u W=2u M_I$9 N$212 A1 VDD VDD pmos L=0.4u W=2.6u M_I$8 N$212 A0 VDD VDD pmos L=0.4u W=2.6u M_I$7 N$3 N$212 GND GND nmos L=0.4u W=2u M_I$6 Y A1 N$3 GND nmos L=0.4u W=2u M_I$5 Y A0 N$3 GND nmos L=0.4u W=2u M_I$4 Y A1 N$1 VDD pmos L=0.4u W=5.2u M_I$3 Y N$212 VDD VDD pmos L=0.4u W=2.6u M_I$2 N$1 A0 VDD VDD pmos L=0.4u W=5.2u.ends xnor2 * * Component pathname : $ADK/parts/buf16 *.subckt buf16 A Y M_I$1238 N$1022 A GND GND nmos L=0.4u W=100u M_I$1235 N$1022 A VDD VDD pmos L=0.4u W=180u M_I$1233 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$1232 Y N$1022 GND GND nmos L=0.4u W=50u M_I$1231 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$1230 Y N$1022 GND GND nmos L=0.4u W=50u M_I$1229 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$1228 Y N$1022 GND GND nmos L=0.4u W=50u M_I$1227 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$1226 Y N$1022 GND GND nmos L=0.4u W=50u M_I$1023 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$1022 Y N$1022 GND GND nmos L=0.4u W=50u M_I$1021 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$1020 Y N$1022 GND GND nmos L=0.4u W=50u M_I$817 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$818 Y N$1022 GND GND nmos L=0.4u W=50u M_I$614 Y N$1022 VDD VDD pmos L=0.4u W=180u M_I$615 Y N$1022 GND GND nmos L=0.4u W=50u M_I$411 N$1022 A VDD VDD pmos L=0.4u W=180u M_I$412 N$1022 A GND GND nmos L=0.4u W=50u.ends buf16 * * Component pathname : $ADK/parts/aoi22 *.subckt aoi22 B1 A0 A1 B0 Y M_I$425 Y B0 N$9 GND nmos L=0.4u W=2u M_I$426 Y B1 N$4 VDD pmos L=0.4u W=2.6u M_I$12 N$8 A1 GND GND nmos L=0.4u W=2u M_I$11 Y A0 N$8 GND nmos L=0.4u W=2u

10 M_I$7 Y B0 N$4 VDD pmos L=0.4u W=2.6u M_I$6 N$4 A1 VDD VDD pmos L=0.4u W=2.6u M_I$5 N$4 A0 VDD VDD pmos L=0.4u W=2.6u M_I$13 N$9 B1 GND GND nmos L=0.4u W=2u.ends aoi22 * * Component pathname : $ADK/parts/xor2 *.subckt xor2 Y A0 A1 M_I$421 Y N$4 GND GND nmos L=0.4u W=1u M_I$420 Y N$4 VDD VDD pmos L=0.4u W=1.8u M_I$218 N$213 A1 GND GND nmos L=0.4u W=2u M_I$217 N$212 A0 N$213 GND nmos L=0.4u W=2u M_I$9 N$212 A1 VDD VDD pmos L=0.4u W=2.6u M_I$8 N$212 A0 VDD VDD pmos L=0.4u W=2.6u M_I$7 N$3 N$212 GND GND nmos L=0.4u W=2u M_I$6 N$4 A1 N$3 GND nmos L=0.4u W=2u M_I$5 N$4 A0 N$3 GND nmos L=0.4u W=2u M_I$4 N$4 A1 N$1 VDD pmos L=0.4u W=5.2u M_I$3 N$4 N$212 VDD VDD pmos L=0.4u W=2.6u M_I$2 N$1 A0 VDD VDD pmos L=0.4u W=5.2u.ends xor2 * * Component pathname : $ADK/parts/dff *.subckt dff QB Q CLK D M_I$441 N$847 bclk- N$851 GND nmos L=0.4u W=3u M_I$440 N$849 N$847 VDD VDD pmos L=0.4u W=1u M_I$439 N$847 bclk- N$848 VDD pmos L=0.4u W=1u M_I$438 N$848 N$849 VDD VDD pmos L=0.4u W=1u M_I$437 N$847 bclk N$845 VDD pmos L=0.4u W=5.4u M_I$436 N$845 D VDD VDD pmos L=0.4u W=5.4u M_I$452 bclk bclk- GND GND nmos L=0.4u W=2u M_I$673 Q QB GND GND nmos L=0.4u W=2u M_I$672 Q QB VDD VDD pmos L=0.4u W=.045u M_I$669 QB N$1074 GND GND nmos L=0.4u W=2u M_I$675 QB N$1074 VDD VDD pmos L=0.4u W=.045u M_I$668 N$1071 N$1074 GND GND nmos L=0.4u W=1u M_I$667 N$1073 N$1071 GND GND nmos L=0.4u W=1u M_I$666 N$1074 bclk- N$1073 GND nmos L=0.4u W=1u M_I$665 N$1072 N$847 GND GND nmos L=0.4u W=3u M_I$664 N$1074 bclk N$1072 GND nmos L=0.4u W=3u M_I$663 N$1071 N$1074 VDD VDD pmos L=0.4u W=1u M_I$662 N$1074 bclk N$1070 VDD pmos L=0.4u W=1u M_I$661 N$1070 N$1071 VDD VDD pmos L=0.4u W=1u M_I$660 N$1074 bclk- N$1069 VDD pmos L=0.4u W=5.4u M_I$659 N$1069 N$847 VDD VDD pmos L=0.4u W=5.4u M_I$449 bclk- CLK GND GND nmos L=0.4u W=2u M_I$448 bclk- CLK VDD VDD pmos L=0.4u W=.045u M_I$453 bclk bclk- VDD VDD pmos L=0.4u W=.045u M_I$445 N$849 N$847 GND GND nmos L=0.4u W=1u M_I$444 N$852 N$849 GND GND nmos L=0.4u W=1u M_I$443 N$847 bclk N$852 GND nmos L=0.4u W=1u

11 M_I$442 N$851 D GND GND nmos L=0.4u W=3u.ends dff * * Component pathname : /home/u1/hlt0001/4bitalu3/alu *.subckt alu A[0] A[1] A[2] A[3] B[0] B[1] B[2] B[3] S[0] S[1] F[0] F[1] + F[2] F[3] Clk X_ix155 nx60 nx58 nx145 nx154 mux21 X_ix146 nx34 nx32 nx133 nx145 mux21 X_ix136 nx6 nx135 inv01 X_ix129 S[1] nx128 inv01 X_ix159 nx158 B[3] nx128 nand02 X_ix150 nx149 B[2] nx128 nand02 X_ix141 nx140 B[1] nx128 nand02 X_ix127 nx126 B[0] nx128 nand02 X_ix85 nx84 nx0 nx158 xnor2 X_ix87 nx86 N$465 nx84 xnor2 X_ix89 nx88 nx154 nx86 xnor2 X_ix59 nx58 nx0 nx149 xnor2 X_ix61 nx60 A[2] nx58 xnor2 X_ix63 nx62 nx145 nx60 xnor2 X_BUF1614 A[3] N$465 buf16 X_BUF1613 nx34 N$462 buf16 X_BUF1612 nx133 N$14 buf16 X_ix134 N$674 N$27 N$459 N$460 nx133 aoi22 X_BUF1611 A[0] N$253 buf16 X_BUF1610 nx140 N$255 buf16 X_BUF169 nx135 N$27 buf16 X_BUF168 A[0] N$459 buf16 X_BUF167 S[0] N$460 buf16 X_BUF166 S[0] N$676 buf16 X_BUF165 N$676 N$675 buf16 X_BUF164 N$675 N$458 buf16 X_BUF163 N$674 N$673 buf16 X_BUF162 N$673 N$672 buf16 X_BUF161 N$672 N$457 buf16 X_ix33 nx32 nx0 N$255 xnor2 X_ix35 nx34 A[1] nx32 xnor2 X_ix37 nx36 N$14 N$462 xnor2 X_ix1 nx0 S[0] S[1] xnor2 X_ix7 nx6 nx0 nx126 xnor2 X_ix9 N$674 N$253 nx6 xnor2 X_ix11 nx10 N$458 N$457 xor2 X_reg_t3_3 N$dummy_esc1[3] F[3] Clk nx88 dff X_reg_t3_2 N$dummy_esc1[2] F[2] Clk nx62 dff X_reg_t3_1 N$dummy_esc1[1] F[1] Clk nx36 dff X_reg_t3_0 N$dummy_esc1[0] F[0] Clk nx10 dff.ends alu.tran 1ns '4*t'.measure tran avg_current avg i(vdd) from=0ns to='4*t'.measure tran rms_current rms i(vdd) from=0ns to='4*t'.measure tran avg_pow avg p(vdd) from=0ns to='4*t'.measure tran rms_pow rms p(vdd) from=0ns to='4*t'.measure tran powavg param='avg_current*supply'.measure tran powrms param='rms_current*supply'

12 .print i(vdd).print power.probe v(a[0]) v(a[1]) v(a[2]) v(a[3]) v(b[0]) v(b[1]) v(b[2]) v(b[3]) v(s[0]) v(s[1]) v(f[0]) v(f[1]) v(f[2]) v(f[3]) v(clk).end

13 Appendix II. ALU Test Vectors ; start of Pattern Definition section RADIX vname A[[3:0]] B[[3:0]] S[[1:0]] IO I I I period 1 tunit ns slope 0.01 vih 1 vil 0 voh 0.7 vol 0.3 ;Vector Table A 0 0 B 0 0 C 0 0 D 0 0 E 0 0 F A 0 1 B 0 1 C 0 1 D 0 1 E 0 1 F A 0 2 B 0 2 C 0 2 D 0 2 E 0 2 F A 0 3 B 0 3 C 0 3 D 0 3 E 0 3 F A 0 0 B 0 0 C 0 0 D 0 0 E 0 0 F A 1 1 B 1 1 C 1 1 D 1 1 E 1 1 F A 1 2 B 1 2 C 1 2 D 1 2 E 1 2 F A 1 3 B 1 3 C 1 3 D 1 3 E 1 3 F A 2 0 B 2 0 C 2 0 D 2 0 E 2 0 F A 2 1 B 2 1 C 2 1 D 2 1 E 2 1 F A 2 2 B 2 2 C 2 2 D 2 2 E 2 2 F A 2 3 B 2 3 C 2 3 D 2 3 E 2 3 F A 2 0 B 2 0 C 2 0 D 2 0 E 2 0 F A 3 0 B 3 0 C 3 0 D 3 0 E 3 0 F A 3 1 B 3 1 C 3 1 D 3 1 E 3 1 F A 3 2 B 3 2 C 3 2 D 3 2 E 3 2 F A 3 3 B 3 3 C 3 3 D 3 3 E 3 3 F A 3 0 B 3 0 C 3 0 D 3 0 E 3 0 F A 4 0 B 4 0 C 4 0

14 D 4 0 E 4 0 F A 4 1 B 4 1 C 4 1 D 4 1 E 4 1 F A 4 2 B 4 2 C 4 2 D 4 2 E 4 2 F A 4 3 B 4 3 C 4 3 D 4 3 E 4 3 F A 4 0 B 4 0 C 4 0 D 4 0 E 4 0 F A 5 1 B 5 1 C 5 1 D 5 1 E 5 1 F A 5 2 B 5 2 C 5 2 D 5 2 E 5 2 F A 5 3 B 5 3 C 5 3 D 5 3 E 5 3 F A 6 0 B 6 0 C 6 0 D 6 0 E 6 0 F A 6 1 B 6 1 C 6 1 D 6 1 E 6 1 F A 6 2 B 6 2 C 6 2 D 6 2 E 6 2 F A 6 3 B 6 3 C 6 3 D 6 3 E 6 3 F A 6 0 B 6 0 C 6 0 D 6 0 E 6 0 F A 7 0 B 7 0 C 7 0 D 7 0 E 7 0 F A 7 1 B 7 1 C 7 1 D 7 1 E 7 1 F A 7 2 B 7 2 C 7 2 D 7 2 E 7 2 F A 7 3 B 7 3 C 7 3 D 7 3 E 7 3 F A 7 0 B 7 0 C 7 0 D 7 0 E 7 0 F A 8 0 B 8 0 C 8 0 D 8 0 E 8 0 F A 8 1 B 8 1 C 8 1 D 8 1 E 8 1 F A 8 2 B 8 2 C 8 2 D 8 2 E 8 2 F A 8 3 B 8 3 C 8 3 D 8 3 E 8 3 F A 8 0 B 8 0 C 8 0 D 8 0 E 8 0 F A 9 1 B 9 1 C 9 1 D 9 1 E 9 1 F A 9 2 B 9 2 C 9 2 D 9 2 E 9 2 F A 9 3 B 9 3 C 9 3 D 9 3 E 9 3 F A 0 1 A 0 2 A 0 3 A 0 4 A 0 5 A 0 6 A 0 7 A 0 8 A 0 9 A 0 A A 0 B A 0 C A 0 D A 0 E A 0 F A 0 0 A 1 1 A 1 2 A 1 3 A 1 4 A 1 5 A 1 6 A 1 7 A 1 8 A 1 9 A 1 A A 1 B A 1 C A 1 D A 1 E A 1 F A 1 0 A 2 1 A 2 2 A 2 3 A 2 4 A 2 5 A 2 6 A 2 7 A 2 8 A 2 9 A 2 A A 2 B A 2 C A 2 D A 2 E A 2 F A 2 0 A 3 1 A 3 2 A 3 3 A 3 4 A 3

15 5 A 3 6 A 3 7 A 3 8 A 3 9 A 3 A A 3 B A 3 C A 3 D A 3 E A 3 F A 3 0 A 0 1 A 0 2 A 0 3 A 0 4 A 0 5 A 0 6 A 0 7 A 0 8 A 0 9 A 0 A A 0 B A 0 C A 0 D A 0 E A 0 F A 0 0 B 0 1 B 0 2 B 0 3 B 0 4 B 0 5 B 0 6 B 0 7 B 0 8 B 0 9 B 0 A B 0 B B 0 C B 0 D B 0 E B 0 F B 0 0 B 1 1 B 1 2 B 1 3 B 1 4 B 1 5 B 1 6 B 1 7 B 1 8 B 1 9 B 1 A B 1 B B 1 C B 1 D B 1 E B 1 F B 1 0 B 2 1 B 2 2 B 2 3 B 2 4 B 2 5 B 2 6 B 2 7 B 2 8 B 2 9 B 2 A B 2 B B 2 C B 2 D B 2 E B 2 F B 2 0 B 3 1 B 3 2 B 3 3 B 3 4 B 3 5 B 3 6 B 3 7 B 3 8 B 3 9 B 3 A B 3 B B 3 C B 3 D B 3 E B 3 F B 3 0 B 0 1 B 0 2 B 0 3 B 0 4 B 0 5 B 0 6 B 0 7 B 0 8 B 0 9 B 0 A B 0 B B 0 C B 0 D B 0 E B 0 F B 0 0 C 0 1 C 0 2 C 0 3 C 0 4 C 0 5 C 0 6 C 0 7 C 0 8 C 0 9 C 0 A C 0 B C 0 C C 0 D C 0 E C 0 F C 0 0 C 1 1 C 1 2 C 1 3 C 1 4 C 1 5 C 1 6 C 1 7 C 1 8 C 1 9 C 1 A C 1 B C 1 C C 1 D C 1 E C 1 F C 1 0 C 2 1 C 2 2 C 2 3 C 2 4 C 2 5 C 2 6 C 2 7 C 2 8 C 2 9 C 2 A C 2 B C 2 C C 2 D C 2 E C 2 F C 2 0 C 3 1 C 3 2 C 3 3 C 3 4 C 3 5 C 3 6 C 3 7 C 3 8 C 3 9 C 3 A C 3 B C 3 C C 3 D C 3 E C 3 F C 3 0 C 0 1 C 0 2 C 0 3 C 0 4 C 0 5 C 0 6 C 0 7 C 0 8 C 0 9 C 0 A C 0 B C 0 C C 0 D C 0 E C 0 F C 0 0 D 1 1 D 1 2 D 1 3 D 1 4 D 1 5 D 1 6 D 1 7 D 1 8 D 1 9 D 1 A D 1 B D 1 C D 1 D D 1 E D 1 F D 1 0 D 2 1 D 2 2 D 2 3 D 2 4 D 2 5 D 2 6 D 2 7 D 2 8 D 2 9 D 2 A D 2 B D 2 C D 2 D D 2 E D 2 F D 2 0 D 3 1 D 3 2 D 3 3 D 3 4 D 3 5 D 3 6 D 3 7 D 3 8 D 3 9 D 3 A D 3 B D 3 C D 3 D D 3 E D 3 F D 3 0 E 0 1 E 0 2 E 0 3 E 0 4 E 0 5 E 0 6 E 0 7 E 0 8 E 0 9 E 0 A E 0 B E 0 C E 0 D E 0 E E 0 F E 0 0 E 1 1 E 1 2 E 1 3 E 1 4 E 1 5 E 1 6 E 1 7 E 1 8 E 1 9 E 1 A E 1 B E 1 C E 1 D E 1 E E 1 F E 1 0 E 2 1 E 2 2 E 2 3 E 2 4 E 2 5 E 2 6 E 2 7 E 2 8 E 2 9 E 2 A E 2 B E 2 C E 2 D E 2 E E 2 F E 2 0 E 3 1 E 3 2 E 3 3 E 3 4 E 3 5 E 3 6 E 3 7 E 3 8 E 3 9 E 3 A E 3 B E 3 C E 3 D E 3 E E 3 F E 3 0 E 0 1 E 0 2 E 0 3 E 0 4 E 0 5 E 0 6 E 0 7 E 0 8 E 0 9 E 0 A E 0 B E 0 C E 0 D E 0 E E 0 F E 0 0 F 0 1 F 0 2 F 0 3 F 0 4 F 0 5 F 0 6 F 0 7 F 0 8 F 0 9 F 0 A F 0 B F 0 C F 0 D F 0 E F 0 F F 0 0 F 1 1 F 1 2 F 1 3 F 1 4 F 1 5 F 1 6 F 1 7 F 1 8 F 1 9 F 1 A F 1 B F 1 C F 1 D F 1 E F 1 F F 1 0 F 2 1 F 2 2 F 2 3 F 2 4 F 2 5 F 2 6 F 2 7 F 2 8 F 2 9 F 2 A F 2 B F 2 C F 2 D F 2 E F 2 F F 2 0 F 3 1 F 3 2 F 3 3 F 3 4 F 3 5 F 3 6 F 3 7 F 3 8 F 3 9 F 3 A F 3 B F 3 C F 3 D F 3 E F 3 F F 3

16 Appendix III. HPSICE with Buffer Modifications Using: /usr/bin/time -p /linux_apps/synopsys/v2.5/hspice/hspice/linux/hspice -i alu_16buf.sp ****** HSPICE -- E SP1 32-BIT (Feb ) linux ****** Copyright (C) 2010 Synopsys, Inc. All Rights Reserved. Unpublished-rights reserved under US copyright laws. This program is protected by law and is subject to the terms and conditions of the license agreement from Synopsys. Use of this program is your acceptance to be bound by the license agreement. HSPICE is the trademark of Synopsys, Inc. Input File: alu_16buf.sp Command line options: -i alu_16buf.sp lic: No 'setenv LM_LICENSE_FILE' in current environment' lic: lic: FLEXlm: v10.8 lic: USER: hlt0001 HOSTNAME: eelnx165.eng.auburn.edu lic: HOSTID: b PID: lic: Using FLEXlm license file: lic: lic: Checkout 1 hspice lic: License/Maintenance for hspice will expire on 21-jul-2015/ lic: 1(in_use)/50(total) FLOATING license(s) on SERVER perseus.eng.auburn.edu lic: Init: read install configuration file: /linux_apps/synopsys/v2.5/hspice/hspice/meta.cfg **info** (alu_16buf.sp:15) DC voltage reset to initial transient source value in source 0:vclk new dc= D+00 **warning**(nmos:m_i$13)warning: Acde = may be too small in BSIM4 model with w=2e-06 l=4e-07. **info** set option symb=1 internally to help for convergence. ***************************************************************** ****** option summary ****** runlvl = 3 bypass = 2 Opening plot unit= 15 file=alu_16buf.pa0 **info** dc convergence failure, resetting dcon option to 1 and retrying **info** dc convergence successful you can increase the efficiency of the operating point calculation by setting dcon= 1 in the.option statement ****** HSPICE -- E SP1 32-BIT (Feb ) linux ****** ****** * lvs netlist generated with icnet by 'hlt0001' on wed apr at 22:39:34 ****** operating point information tnom= temp= *****

17 ***** operating point status is voltage simulation time is 0. node =voltage node =voltage node =voltage + 0:a[0] = 0. 0:a[1] = 0. 0:a[2] = :a[3] = 0. 0:b[0] = 0. 0:b[1] = :b[2] = 0. 0:b[3] = 0. 0:clk = :f[0] = m 0:f[1] = m 0:f[2] = m + 0:f[3] = m 0:s[0] = 0. 0:s[1] = :vdd = :n$14 = m 1:n$253 = m + 1:n$255 = m 1:n$27 = m 1:n$457 = m + 1:n$458 = m 1:n$459 = m 1:n$460 = m + 1:n$462 = m 1:n$465 = m 1:n$672 = m + 1:n$673 = m 1:n$674 = m 1:n$675 = m + 1:n$676 = m 1:n$dummy_= m 1:n$dummy_= m + 1:n$dummy_= m 1:n$dummy_= m 1:nx0 = m + 1:nx10 = m 1:nx126 = m 1:nx128 = m + 1:nx133 = m 1:nx135 = m 1:nx140 = m + 1:nx145 = m 1:nx149 = m 1:nx154 = m + 1:nx158 = m 1:nx32 = m 1:nx34 = m + 1:nx36 = m 1:nx58 = m 1:nx6 = m + 1:nx60 = m 1:nx62 = m 1:nx84 = m + 1:nx86 = m 1:nx88 = m 2:n$10 = m + 2:n$4 = m 2:n$5 = m 2:n$6 = m + 2:n$7 = m 3:n$10 = m 3:n$4 = m + 3:n$5 = m 3:n$6 = m 3:n$7 = m + 6:n$7 = m 7:n$7 = m 8:n$7 = m + 9:n$7 = m 10:n$1 = m 10:n$212 = m +10:n$213 = m 10:n$3 = m 11:n$1 = m +11:n$212 = m 11:n$213 = m 11:n$3 = m +12:n$1 = m 12:n$212 = m 12:n$213 = m +12:n$3 = m 13:n$1 = m 13:n$212 = m +13:n$213 = m 13:n$3 = m 14:n$1 = m +14:n$212 = m 14:n$213 = m 14:n$3 = m +15:n$1 = m 15:n$212 = m 15:n$213 = m +15:n$3 = m 16:n$1022 = m 17:n$1022 = m +18:n$1022 = m 19:n$4 = m 19:n$8 = m +19:n$9 = u 20:n$1022 = m 21:n$1022 = m +22:n$1022 = m 23:n$1022 = m 24:n$1022 = m +25:n$1022 = m 26:n$1022 = m 27:n$1022 = m +28:n$1022 = m 29:n$1022 = m 30:n$1022 = m +31:n$1 = m 31:n$212 = m 31:n$213 = m +31:n$3 = m 32:n$1 = m 32:n$212 = m +32:n$213 = m 32:n$3 = m 33:n$1 = m +33:n$212 = m 33:n$213 = m 33:n$3 = m +34:n$1 = m 34:n$212 = m 34:n$213 = m +34:n$3 = m 35:n$1 = m 35:n$212 = m +35:n$213 = m 35:n$3 = m 36:n$1 = m +36:n$212 = m 36:n$213 = m 36:n$3 = m +37:n$1 = m 37:n$212 = m 37:n$213 = m +37:n$3 = m 37:n$4 = m 38:bclk = m +38:bclk- = m 38:n$1069 = m 38:n$1070 = m +38:n$1071 = m 38:n$1072 = m 38:n$1073 = m +38:n$1074 = m 38:n$845 = m 38:n$847 = m +38:n$848 = m 38:n$849 = m 38:n$851 = m +38:n$852 = m 39:bclk = m 39:bclk- = m +39:n$1069 = m 39:n$1070 = m 39:n$1071 = m +39:n$1072 = m 39:n$1073 = m 39:n$1074 = m

18 +39:n$845 = m 39:n$847 = m 39:n$848 = m +39:n$849 = m 39:n$851 = m 39:n$852 = m +40:bclk = m 40:bclk- = m 40:n$1069 = m +40:n$1070 = m 40:n$1071 = m 40:n$1072 = m +40:n$1073 = m 40:n$1074 = m 40:n$845 = m +40:n$847 = m 40:n$848 = m 40:n$849 = m +40:n$851 = m 40:n$852 = m 41:bclk = m +41:bclk- = m 41:n$1069 = m 41:n$1070 = m +41:n$1071 = m 41:n$1072 = m 41:n$1073 = m +41:n$1074 = m 41:n$845 = m 41:n$847 = m +41:n$848 = m 41:n$849 = m 41:n$851 = m +41:n$852 = m ****** * lvs netlist generated with icnet by 'hlt0001' on wed apr at 22:39:34 ****** transient analysis tnom= temp= ***** avg_current= E-01 from= E+00 to= E-06 rms_current= E-01 from= E+00 to= E-06 avg_pow= E-01 from= E+00 to= E-06 rms_pow= E-01 from= E+00 to= E-06 powavg= E-01 powrms= E-01 x time current vdd m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

19 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

20 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

21 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

22 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

23 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

24 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

25 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

26 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

27 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

28 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

29 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

30 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

31 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

32 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

33 n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m n m

****** bjt model parameters tnom= temp= *****

****** bjt model parameters tnom= temp= ***** ****** HSPICE H 2013.03 64 BIT (Feb 27 2013) RHEL64 ****** Copyright (C) 2013 Synopsys, Inc. All Rights Reserved. Unpublished rights reserved under US copyright laws. This program is protected by law and

More information

ECE251. VLSI System Design

ECE251. VLSI System Design ECE251. VLSI System Design Project 4 SRAM Cell and Memory Array Operation Area Memory core 4661 mm 2 (256bit) Row Decoder 204.7 mm 2 Collumn Decoder Overall Design Predecoder 156.1 mm 2 Mux 629.2 mm 2

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Designing Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Analog Simulation. Digital simulation. Analog simulation. discrete values. discrete timing. continuous values. continuous timing

Analog Simulation. Digital simulation. Analog simulation. discrete values. discrete timing. continuous values. continuous timing Analog Simulation Digital simulation discrete values bit, boolean, enumerated, integer exception - floating point discrete timing cycle based - uniform time intervals event based - nonuniform time intervals

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF LIFORNI, ERKELEY ollege of Engineering Department of Electrical Engineering and omputer Sciences Elad lon Homework #3 EE141 Due Thursday, September 13 th, 5pm, box outside 125 ory PROLEM

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

MOSIS REPORT. Report:

MOSIS REPORT. Report: MOSIS REPORT Report: 1. Report 1 2. Report 2 3. Report 3 Report 1 The most important step in designing a four-bit counter is determining what type of flipflop to use. Almost any type of flip-flop can be

More information

Post-Layout Simulation. Analog and Digital Turbo Simulator (ADiT)

Post-Layout Simulation. Analog and Digital Turbo Simulator (ADiT) Post-Layout Simulation Analog and Digital Turbo Simulator (ADiT) Analog &Digital Turbo Simulator (ADiT) Extracted from schematic: design.lvs.netlist Extracted from layout: design.pex.netlist design.pex.netlist.design.pxi

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm01 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Oct. 5 th In normal lecture (13:00-14:15)

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #7 Solutions EECS141 PROBLEM 1: Logical Effort with Velocity Saturated

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker Note: + implies OR,. implies AND, ~ implies NOT Question 1: a) (4%) Use transmission gates to design a 3-input OR gate Note: There are

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

SN54HC20, SN74HC20 DUAL 4-INPUT POSITIVE-NAND GATES

SN54HC20, SN74HC20 DUAL 4-INPUT POSITIVE-NAND GATES SNHC0, SN7HC0 DUAL -INPUT POSITIVE-NAND GATES SCLS0C DECEMBER REVISED MAY 7 Package Options Include Plastic Small-Outline (D) and Ceramic Flat (W) Packages, Ceramic Chip Carriers (FK), and Standard Plastic

More information

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form S.E. Sem. III [EXTC] Digital System Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80 Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form [5] (i) (42) 10 (ii) (17)

More information

Introduction to the Xilinx Spartan-3E

Introduction to the Xilinx Spartan-3E Introduction to the Xilinx Spartan-3E Nash Kaminski Instructor: Dr. Jafar Saniie ECE597 Illinois Institute of Technology Acknowledgment: I acknowledge that all of the work (including figures and code)

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

Static CMOS Circuits. Example 1

Static CMOS Circuits. Example 1 Static CMOS Circuits Conventional (ratio-less) static CMOS Covered so far Ratio-ed logic (depletion load, pseudo nmos) Pass transistor logic ECE 261 Krish Chakrabarty 1 Example 1 module mux(input s, d0,

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

EE115C Digital Electronic Circuits Homework #4

EE115C Digital Electronic Circuits Homework #4 EE115 Digital Electronic ircuits Homework #4 Problem 1 Power Dissipation Solution Vdd =1.0V onsider the source follower circuit used to drive a load L =20fF shown above. M1 and M2 are both NMOS transistors

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

Lecture 7: SPICE Simulation

Lecture 7: SPICE Simulation Introduction to CMOS VLSI Design Lecture 7: SPICE Simulation David Harris Harvey Mudd College Spring 2004 Outline Introduction to SPICE DC Analysis Transient Analysis Subcircuits Optimization Power Measurement

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

Intro To Digital Logic

Intro To Digital Logic Intro To Digital Logic 1 Announcements... Project 2.2 out But delayed till after the midterm Midterm in a week Covers up to last lecture + next week's homework & lab Nick goes "H-Bomb of Justice" About

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

NAND3_X1. Page 104 of 969

NAND3_X1. Page 104 of 969 NAND3_X1 Databook Build Date: Thursday Feb 17 15:07 2011 Copyright 2004-2010 Nangate Inc. Conditions for characterization library NangateOpenCellLibrary, corner NangateOpenCellLibrary_typical_typical:

More information

EGC221: Digital Logic Lab

EGC221: Digital Logic Lab Division of Engineering Programs EGC221: Digital Logic Lab Experiment #1 Basic Logic Gate Simulation Student s Name: Student s Name: Reg. no.: Reg. no.: Semester: Fall 2016 Date: 07 September 2016 Assessment:

More information

Homework 1. Part(a) Due: 15 Mar, 2018, 11:55pm

Homework 1. Part(a) Due: 15 Mar, 2018, 11:55pm ENGG1203: Introduction to Electrical and Electronic Engineering Second Semester, 2017 18 Homework 1 Due: 15 Mar, 2018, 11:55pm Instruction: Submit your answers electronically through Moodle. In Moodle,

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC6 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC6 74HC/HCT/HCU/HCMOS Logic Package Information The IC6 74HC/HCT/HCU/HCMOS

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

ELEC Digital Logic Circuits Fall 2014 Switching Algebra (Chapter 2)

ELEC Digital Logic Circuits Fall 2014 Switching Algebra (Chapter 2) ELEC 2200-002 Digital Logic Circuits Fall 2014 Switching Algebra (Chapter 2) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn,

More information

MOSFET and CMOS Gate. Copy Right by Wentai Liu

MOSFET and CMOS Gate. Copy Right by Wentai Liu MOSFET and CMOS Gate CMOS Inverter DC Analysis - Voltage Transfer Curve (VTC) Find (1) (2) (3) (4) (5) (6) V OH min, V V OL min, V V IH min, V V IL min, V OHmax OLmax IHmax ILmax NM L = V ILmax V OL max

More information

CMOS Technology Worksheet

CMOS Technology Worksheet CMOS Technology Worksheet Concept Inventory: Notes: PFET, NFET: voltage controlled switches CMOS composition rules: complementary pullup and pulldown CMOS gates are naturally inverting t PD and t CD timing

More information

Solution (a) We can draw Karnaugh maps for NS1, NS0 and OUT:

Solution (a) We can draw Karnaugh maps for NS1, NS0 and OUT: DIGITAL ELECTRONICS II Revision Examples 7 Exam Format Q compulsory + any out of Q, Q, Q4. Q has 5 parts worth 8% each, Q,,4 are worth %. Revision Lectures Three revision lectures will be given on the

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

SN54HC682, SN74HC682 8-BIT MAGNITUDE COMPARATORS

SN54HC682, SN74HC682 8-BIT MAGNITUDE COMPARATORS SCLS0C MARCH 9 REVISED MAY 99 Compare Two -Bit Words 00-kΩ Pullup Resistors Are on the Q Inputs Package Options Include Plastic Small-Outline (DW) and Ceramic Flat (W) Packages, Ceramic Chip Carriers (FK),

More information

Circuit A. Circuit B

Circuit A. Circuit B UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on November 19, 2006 by Karl Skucha (kskucha@eecs) Borivoje Nikolić Homework #9

More information

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe

E40M Capacitors. M. Horowitz, J. Plummer, R. Howe E40M Capacitors 1 Reading Reader: Chapter 6 Capacitance A & L: 9.1.1, 9.2.1 2 Why Are Capacitors Useful/Important? How do we design circuits that respond to certain frequencies? What determines how fast

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 DEPARTMENT: EEE QUESTION BANK SUBJECT NAME: DIGITAL LOGIC CIRCUITS SUBJECT CODE: EE55 SEMESTER IV UNIT : Design of Synchronous Sequential Circuits PART

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University CAD Computer Aided Design LECTURE 2: Delay models, std_ulogic and with-select-when Instructor: Francis G. Wolff wolff@eecs.cwru.edu Chris Papachristou Case Western Reserve University Review: Full Adder:

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

SOLUTION. Homework 1. Part(a) Due: 15 Mar, 2018, 11:55pm

SOLUTION. Homework 1. Part(a) Due: 15 Mar, 2018, 11:55pm ENGG1203: Introduction to Electrical and Electronic Engineering Second Semester, 2017 18 Homework 1 Due: 15 Mar, 2018, 11:55pm Instruction: Submit your answers electronically through Moodle. In Moodle,

More information

ECE 250 / CPS 250 Computer Architecture. Basics of Logic Design Boolean Algebra, Logic Gates

ECE 250 / CPS 250 Computer Architecture. Basics of Logic Design Boolean Algebra, Logic Gates ECE 250 / CPS 250 Computer Architecture Basics of Logic Design Boolean Algebra, Logic Gates Benjamin Lee Slides based on those from Andrew Hilton (Duke), Alvy Lebeck (Duke) Benjamin Lee (Duke), and Amir

More information

UNIVERSITY OF CALIFORNIA, RIVERSIDE

UNIVERSITY OF CALIFORNIA, RIVERSIDE Final Page of UNIVERITY OF CLIFORNI, RIVERIDE Computer cience Department and Electrical Engineering Department C/EE20 Logic Design Final December, 2000 50 Name: olution Key tudent ID#: Please print legibly

More information

Written exam with solutions IE1204/5 Digital Design Monday 23/

Written exam with solutions IE1204/5 Digital Design Monday 23/ Written exam with solutions IE204/5 Digital Design Monday 23/0 207 4.00-8.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist Exam text has to be returned when you hand in your

More information

VLSI Circuit Design (EEC0056) Exam

VLSI Circuit Design (EEC0056) Exam Mestrado Integrado em Engenharia Eletrotécnica e de omputadores VLSI ircuit esign (EE0056) Exam 205/6 4 th year, 2 nd sem. uration: 2:30 Open notes Note: The test has 5 questions for 200 points. Show all

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 23, 2017 Energy and Power Optimization, Design Space Exploration, Synchronous MOS Logic Lecture Outline! Energy and Power Optimization

More information

Project Two RISC Processor Implementation ECE 485

Project Two RISC Processor Implementation ECE 485 Project Two RISC Processor Implementation ECE 485 Chenqi Bao Peter Chinetti November 6, 2013 Instructor: Professor Borkar 1 Statement of Problem This project requires the design and test of a RISC processor

More information

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Law Combinational

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture A Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Laws

More information

CE1911 LECTURE FSM DESIGN PRACTICE DAY 1

CE1911 LECTURE FSM DESIGN PRACTICE DAY 1 REVIEW MATERIAL 1. Combinational circuits do not have memory. They calculate instantaneous outputs based only on current inputs. They implement basic arithmetic and logic functions. 2. Sequential circuits

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

ISSP User Guide CY3207ISSP. Revision C

ISSP User Guide CY3207ISSP. Revision C CY3207ISSP ISSP User Guide Revision C Cypress Semiconductor 198 Champion Court San Jose, CA 95134-1709 Phone (USA): 800.858.1810 Phone (Intnl): 408.943.2600 http://www.cypress.com Copyrights Copyrights

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

Cs302 Quiz for MID TERM Exam Solved

Cs302 Quiz for MID TERM Exam Solved Question # 1 of 10 ( Start time: 01:30:33 PM ) Total Marks: 1 Caveman used a number system that has distinct shapes: 4 5 6 7 Question # 2 of 10 ( Start time: 01:31:25 PM ) Total Marks: 1 TTL based devices

More information

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego CSE4: Components and Design Techniques for Digital Systems Logic minimization algorithm summary Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing & Dr.Pietro Mercati Definition

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 36

Contents. Chapter 3 Combinational Circuits Page 1 of 36 Chapter 3 Combinational Circuits Page of 36 Contents Combinational Circuits...2 3. Analysis of Combinational Circuits...3 3.. Using a Truth Table...3 3..2 Using a Boolean Function...6 3.2 Synthesis of

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS ogic Family Specifications The IC6 74C/CT/CU/CMOS ogic Package Information The IC6 74C/CT/CU/CMOS ogic

More information

ECE/CS 250 Computer Architecture

ECE/CS 250 Computer Architecture ECE/CS 250 Computer Architecture Basics of Logic Design: Boolean Algebra, Logic Gates (Combinational Logic) Tyler Bletsch Duke University Slides are derived from work by Daniel J. Sorin (Duke), Alvy Lebeck

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3) CMPE12 - Notes chapter 1 Digital Logic (Textbook Chapter 3) Transistor: Building Block of Computers Microprocessors contain TONS of transistors Intel Montecito (2005): 1.72 billion Intel Pentium 4 (2000):

More information

INTEGRATED CIRCUITS. 74LV273 Octal D-type flip-flop with reset; positive-edge trigger. Product specification 1997 Apr 07 IC24 Data Handbook

INTEGRATED CIRCUITS. 74LV273 Octal D-type flip-flop with reset; positive-edge trigger. Product specification 1997 Apr 07 IC24 Data Handbook INTEGRATED CIRCUITS Octal D-type flip-flop with reset; positive-edge trigger 1997 Apr 07 IC24 Data Handbook FEATURES Wide operating voltage: 1.0 to 5.5V Optimized for Low Voltage applications: 1.0 to 3.6V

More information

Written exam with solutions IE1204/5 Digital Design Friday 13/

Written exam with solutions IE1204/5 Digital Design Friday 13/ Written eam with solutions IE204/5 Digital Design Friday / 207 08.00-2.00 General Information Eaminer: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MSSCHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences nalysis and Design of Digital Integrated Circuits (6.374) - Fall 2003 Quiz #1 Prof. nantha Chandrakasan Student

More information