Reliability of MOS Devices and Circuits

Size: px
Start display at page:

Download "Reliability of MOS Devices and Circuits"

Transcription

1 Reliability of MOS Devices and Circuits Gilson Wirth UFRGS - Porto Alegre, Brazil MOS-AK Workshop Berkeley, CA, December 2014

2 Variability in Nano-Scale Technologies Electrical Behavior / Parameter Variation Time Zero Time Dependent Random: RDF, LER, etc Systematic: Process Gradients, etc Aging: NBTI, HCI, Electrom., etc Transient: SET/SEU, Noise, etc There are also environmental sources of variation: Voltage, Temperature, etc. 2 Gilson Wirth

3 Issues in Nano-Scale Technologies Performance Average Speed Minimum Acceptable Performance Transient Error Permanent Failure Time 3 Gilson Wirth

4 Issues in Nano-Scale Technologies Speed Variability Minimum Acceptable Speed Transient Error Permanent Failure Time 4 Gilson Wirth

5 Issues in Nano-Scale Technologies - V DD saturating at 1V due to non-scaling of sub-threshold slope. - Increased Electric Field in Gate Dielectric and Semiconductor. - Increased power density: Increased Temperature. 5 Gilson Wirth

6 Discrete Charges and Traps Source: Hans Reisinger 6 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

7 Charge Trapping Our Modeling Approach for Charge Trapping Low-Frequency Noise: Frequency Domain Models (DC and AC Large Signal) Time Domain Analysis and Simulation NBTI: Charge Trapping Component Amplitude of the V T Induced by a Trap Conclusion 7 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

8 BTI x RTS V G V G Gate Contact Dielectric Gate Contact Dielectric Semiconductor Semiconductor current V G Positive: PBTI V G Negative: NBTI current time time 8 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

9 Low-Frequency Noise E F E F Traps within a few kt from the Fermi Level contribute to noise 9 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

10 Charge Trapping Component of BTI Transistor Off Transistor On E F After a Long Time E F Traps Mostly Empty Traps Mostly Occupied 10 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

11 BTI x RTS Traps that contribute to noise are the ones with C E i.e., traps that keep switching state Traps that contribute to NBTI are the ones with C < E i.e, traps that become occupied 11 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

12 Modeling Approach Based on Microscopic (Random) Quantities, instead of distributed (homogeneous) quantities. 1. Charge trapping and de-trapping are stochastic events governed by characteristic time constants, which are uniformly distributed on a log scale. 2. Number of traps is assumed to be Poisson distributed. 3. Amplitude of the fluctuation induced by a single trap is a random variable, studied by atomistic simulations. 4. Trap energy distribution is assumed to be U shaped (key to explain the AC behavior). 12 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

13 Some Advantages of our Approach 1. Can be Applied to both DC and AC Large Signal Excitation. 2. Can be Applied also for Transient Simulation. 3. Random Variables Lead to Statistical Model (Today Variability is a Major Issue). 4. Can be Applied to Different Phenomena where Charge Trapping Plays a Role, such as Noise and NBTI. 13 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

14 Low-Frequency Noise Frequency Domain Modeling (DC) Noise due to a Single Trap Noise due to the Ensemble of Traps AC Large Signal Excitation Time Domain (Transient) Analysis and Simulation 14 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

15 Evaluating the Noise Power due to One Trap Poisson Process 15 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

16 Evaluating the Noise Power due to One Trap The autocorrelation is given by And the power spectrum density (Fourier Transform) is a Lorentzian + Singular term It is not important 16 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

17 RTS: Random Telegraph Signal S(log) Current c d e Time f(log) 17 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

18 Evaluating the Noise Power due to Many Traps S(f) N tr S(f) = A i i=1 f i 1+ f 2 f i f(hz) 18 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

19 Evaluating the Noise Power due to Many Traps Superposition of Lorentzians Averaging on many variability sources 19 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

20 Evaluating the Noise Power due to Many Traps Average Value <A 2 > N dec WL < S (f) > = f π 2 Standard Deviation σ S(f) < S (f) > = 2 π N dec WL <A 4 > <A 2 > 2 G Wirth et al. IEEE Trans Electron Dev, Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

21 Average Value and Variability Gate referred voltage noise [VHz -1/2 ] 10-3 n-mos, W / L = 25µm / 0.25µm V d = 1.0V, V g,eff = 0.5V Frequency [Hz] R Brederlow iedm Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

22 Average Value and Variability Gate referred voltage noise [VHz -1/2 ] 10-3 n-mos, W / L = 2.5µm / 0.25µm V d = 1.0V, V g,eff = 0.5V Frequency [Hz] R Brederlow iedm Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

23 Average Value and Variability Gate referred voltage noise [VHz -1/2 ] 10-3 n-mos, W / L = 0.25µm / 0.25µm V d = 1.0V, V g,eff = 0.5V Frequency [Hz] R Brederlow iedm Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

24 Variability: Dependency on Frequency Sf / <S f > Frequency [Hz] G Wirth et al. IEEE Trans Electron Dev, Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

25 Variability Scaling 4 np /<np BW > Triangles: Measurement Dots: Monte Carlo Simulation Line: Model (N dec W L) -0.5 G Wirth et al. IEEE Trans Electron Dev, Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

26 Low-Frequency Noise Frequency Domain Modeling (DC) Noise due to a Single Trap Noise due to the Ensemble of Traps AC Large Signal Excitation Time Domain (Transient) Analysis and Simulation 26 Gilson Wirth

27 Switched Bias: Modulaton Theory Klumperink et al., IEEE J. SOLID-STATE CIRC, VOL. 35, NO. 7, Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

28 Noise Spectra for a Single Trap under Square Wave Excitation <1/ c > = ( / c,on + (1- ) / c,off ) <1/ e >=( / e,on + (1- ) / e,off ) eq ( E, E, ) on off e 2E t / k B T ( E, on E off, ) e e E on E on / / k T B k T B (1 ) e (1 ) e E off E off / / k T B k T B G Wirth et al. iedm 2009

29 Noise Reduction under Cyclo-Operation WL S ID [ m 2 A 2 / Hz] V DS = V L = L nom = 0.04 m 1/f fit V GS,OFF = 0 = 1 V GS,ON = V T f [ Hz] CONST BIAS FREQ = 10 khz FREQ = 100 khz FREQ = 100 khz SIM_CONST BIAS SIM_FREQ_10kHz Modulation theory predicts four times noise reduction for CS operation Noise reduction is larger and in good agreement to the proposed model. G Wirth et al. iedm 2009

30 Normalized Variability of Noise Behavior WL S ID [ A 2 m 2 /Hz] SAMPLE SIZE = 40 CYCLO_FREQ = 1 KHz DC CYCLO DC Variability is seen to increase under Cyclo-Stationary Operation. CYCLO L = 0.04 m L = 1 m CHANNEL LENGTH G Wirth et al. iedm 2009

31 Low-Frequency Noise Frequency Domain Modeling (DC) Noise due to a Single Trap Noise due to the Ensemble of Traps AC Large Signal Excitation Time Domain (Transient) Analysis and Simulation 31 Gilson Wirth

32 RTS and Time Domain V T Fluctuations 32 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

33 Possible Simulation Methodologies Static Dynamic V T V T Change dvt at instantiation Verilog-A wrapper to Trans. model Ids = + f(delvto(t)) Change transistor Model equations 33

34 RTS: Transient Simulation (1) Charge trapping and de-trapping are stochastic events, governed by capture and emission time constants, c and e, which are uniformly distributed on a log-scale; the number of traps (N tr ) is assumed to be Poisson distributed, and the average number of traps (parameter of the Poisson) is assumed to be proportional to the channel area; trap energy distribution, g(e T ), is assumed to be U-shaped; the amplitude of the V T fluctuation induced by a single trap, is a random variable given by atomistic device simulations. 34 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

35 RTS: Transient Simulation (2) At each simulation time step, it is checked if a trap changes state. Trap switching probability is evaluated based on the device bias point at each transient simulation step. If one or more trap change state, transistor threshold voltage is changed accordingly. Simulators do not support this kind of simulation: ngspice and BSIM4 code modified. 35 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

36 V T Fluctuates Over Time V T (t) V T Fluctuation (V) x x x10-7 Time (s) 36 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

37 Transient Simulation of a Ring Oscillator 37 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

38 Period Jitter Period Jitter Period Jitter is the difference between a clock period andtheidealclockperiod(itcanoccurafteror before the ideal transition). 38 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

39 Transient Simulation of a Ring Oscillator 1200 Stationary No FBB Non-Stationary No FBB 2000 Non-Stationary With FBB 1000 Counts Counts Counts E E E E E-011 Period E E E E E-011 Period E E E E E E-011 (a) (b) (c) Period Histogram of oscillation period: (a) stationary DC noise analysis, (b) and (c) non-stationary simulation methodology here proposed. Case (c) is for the oscillator under forward body biasing (FBB). Stationary noise analysis predicts a jitter (σ T ) of 2.2ps, non stationary analysis predicts a 1.3ps jitter. FBB decreases σ T to 0.8ps. 39 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

40 Pros Comments Properly implements the physical-based equations into a circuit simulator Computationally efficient (minor impact on the run time of the transient simulation) Easy to use: Transparent for the circuit designer (no change needed in the netlist). Monte Carlo by its nature. Cons Changes made on simulator source code: time intensive work, and restriction to access proprietary code (HSpice, Spectre, etc.) 40

41 Outline Our Modeling Approach for Charge Trapping Low-Frequency Noise: Frequency Domain Models (DC and AC Large Signal) Time Domain Analysis and Simulation NBTI: Charge Trapping Component Amplitude of the V T Induced by a Trap Conclusion 41 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

42 NBTI: Charge Trapping Component Huard et al., IRPS Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

43 Modeling Approach V G Gate Contact Dielectric Semiconductor V G Positive: PBTI V G Negative: NBTI current time

44 Motivation To propose a Unified Model for DC and AC Bias Temperature Instability. The model should be based on first principles able to model both short term (cycle-to-cycle or ripple) and long term ( permanent ) components of AC BTI. Model equations should be simple and ease to implement in simulation tools.

45 Fast and Slow Traps 0,08 0,06 V T,Total (a.u) 0,04 0,02 V T,Fast V T,Slow 0, Time (a.u.) V T,Total = V T,Slow + V T,Fast

46 Fast Traps Transistor Off Transistor On E F At the End of Stress Semi-Cycle E F Fast Traps Mostly Empty Fast Traps Mostly Occupied Relevant Trap Time Constant: τ C,On

47 Fast Traps Transistor On Transistor Off E F At the End of Recovery Semi-Cycle E F Fast Traps Mostly Occupied Fast Traps Mostly Empty Relevant Trap Time Constant: τ e,off

48 Slow Traps Off On Off On Off On E F E F E F E F E F E F Traps are too slow to follow bias point change. Equivalent Time Constants <1/ c >=( / c,stress +(1- )/ c,recovery ) <1/ e >=( / e,stress +(1- )/ e,recorery )

49 Fast and Slow Traps V T [a.u.] Time [a.u.] Stress Receovery Stress followed by Recovery. Stress of 500 time units, followed by 500 time units of Recovery. Please note that Recovery is shifted by 500 time units in the Time axis, so that start of both Stress and Recovery correspond to time equal to zero.

50 Slow Traps V T,Slow (a.u) Time (a.u) V T,Slow k S. [log(α+ k A )-log(1-α+ k A )].log(t)

51 Slow Traps 0,08 V T,Slow (V) 0,06 0,04 0,02 0,00 0,0 0,2 0,4 0,6 0,8 1,0 Duty Cycle V T,Slow k S. [log(α+ k A )-log(1-α+ k A )].log(t)

52 Fast Traps Figure from J. Martin Martinez et al., IRPS 2011 V T,Fast = [k C +k F log(t S )] *[ (log(α+k A )+log(1-α+k A )]

53 Fast Traps Figure from D S Ang et al IEEE TDMR pp.19, March 2011 V T,Fast = [k C +k F log(t S )] *[ (log(α+k A )+log(1-α+k A )]

54 Fast Traps 2,5 2,0 V T,Fast (a.u) 1,5 1,0 0,5 0,0 0,0 0,2 0,4 0,6 0,8 1,0 Duty Cycle V T,Fast = [k C +k F log(t S )] *[ (log(α+k A )+log(1-α+k A )]

55 ΔV T Total V T,Total (a.u) Time (a.u.) x 10 4 Monte Carlo Simulation

56 NBTI: Temperature Dependence 0.02 (V G -V t0 ) I D /I D0 [V] C / C / C / C / C / C / C / C / Stress Time [seconds] 56 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

57 Model for the Charge Trapping Component G Wirth et al, IEEE TED Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

58 Normalized standard deviation of the BTI induced V T shift : Model : MC Simulation G Wirth et al, IEEE TED Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

59 Circuit Activity (Duty Cycle) Dependence V T [a.u.] : Measurement : Model : MC Simulation Duty Cycle G Wirth et al, IEEE TED Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

60 Simulation of Both RTN and BTI 60 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

61 Simulation of Both RTN and BTI 61 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

62 Simulation of Both RTN and BTI Vmin on some SRAM arrays varied from one measurement to the next (90nm node). Source: M Agostinelli et al. (Intel), IEDM Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

63 Outline Our Modeling Approach for Charge Trapping Low-Frequency Noise: Frequency Domain Models (DC and AC Large Signal) Time Domain Analysis and Simulation NBTI: Charge Trapping Component Amplitude of the V T Induced by a Trap Conclusion 63 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

64 RDF: Random Dopant Fluctuations In the past all transistors were similar because of self averaging Today, Each Transistor is Different Slide Courtesy Prof Dragica Vasileska, ASU 64 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

65 Threshold Voltage Values For Different RDF Configurations Vt(threshold voltage) data from EMC simulation Vt(threshold voltage) data from analytical model Vt(threshold voltage) data from percolation theory model Threshold voltage (V) Random dopant configuration (integer) N Ashraf et al, IEEE EDL Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

66 Dependence of V T Fluctuations on Trap Position Along the Channel Threshold voltage fluctuation ( V ) Threshold voltage deviation (analytical model 1) Threshold voltage deviation (EMC simulation(with short range e-e and e-i force)) Threshold voltage deviation (EMC simulation(without short range e-e and e-i force)) Trap position along the interface (nm) N Ashraf et al, IEEE EDL Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

67 Conclusion A microscopic, statistical modeling approach for charge trapping is presented. It is applied to study the role of charge trapping and de-trapping in Noise and BTI. Mutual relation between different reliability phenomena (LF noise, BTI and RDF) is discussed. The modeling approach may be applied for time domain (transient) or frequency domain analysis. 67 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

68 Work here presented is due to People at UFRGS, Brazil: Roberto da Silva, Lucas Brusamarello, Vinicius Camargo, Mauricio Silva, Gilson Wirth, and many others People at ASU, USA: Dragica Vasileska, Nabil Ashraf, Yu Cao, Jyothi B Velamala, Ketul B Sutaria. People at Texas Instruments: Ralf Brederlow and P Srinivasan (SP). People at IMEC, Belgium: Ben Kaczer, Philippe Roussel, Guido Groeseneken, Maria Toledano- Luque, Jacopo Franco,... and many others 68 Gilson Wirth. IEEE/ACM Workshop on Variability Modeling and Characterization

Impact of RDF and RTS on the performance of SRAM cells

Impact of RDF and RTS on the performance of SRAM cells J Comput Electron (2010) 9: 122 127 DOI 10.1007/s10825-010-0340-9 Impact of RDF and RTS on the performance of SRAM cells Vinícius V.A. Camargo Nabil Ashraf Lucas Brusamarello Dragica Vasileska Gilson Wirth

More information

CHARGE trapping and detrapping at localized states

CHARGE trapping and detrapping at localized states IEEE TRANSACTIONS ON ELECTRON DEVICES Statistical Model for MOSFET Bias Temperature Instability Component Due to Charge Trapping Gilson I. Wirth, Senior Member, IEEE, Roberto da Silva, and Ben Kaczer Abstract

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Alex Guo and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Cambridge, MA, USA Sponsor:

More information

A Study of Flicker Noise in MOS Transistor Under Switched Bias Condition

A Study of Flicker Noise in MOS Transistor Under Switched Bias Condition Study of Flicker Noise in MOS Transistor Under Switched Bias Condition Matías Miguez and lfredo rnaud Departamento de Ingeniería Eléctrica, Universidad Católica, Montevideo, Uruguay. e-mail: meiyas@ieee.org

More information

The Relevance of Deeply-Scaled FET Threshold Voltage Shifts for Operation Lifetimes

The Relevance of Deeply-Scaled FET Threshold Voltage Shifts for Operation Lifetimes The Relevance of Deeply-Scaled FET Threshold Voltage Shifts for Operation Lifetimes B. Kaczer,*, J. Franco,, M. Toledano-Luque, Ph. J. Roussel, M. F. Bukhori 3, A. Asenov,, B. Schwarz, M. Bina, T. Grasser,

More information

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser Recent Developments in Device Reliability Modeling: The Bias Temperature Instability Tibor Grasser Institute for Microelectronics, TU Vienna Gußhausstraße 27 29, A-14 Wien, Austria TU Wien, Vienna, Austria

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case

A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case Michael Waltl, Wolfgang Goes, Karina Rott, Hans Reisinger, and Tibor Grasser Institute for Microelectronics,

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Modeling and Simulation of Variations in Nano-CMOS Design. Yun Ye

Modeling and Simulation of Variations in Nano-CMOS Design. Yun Ye Modeling and Simulation of Variations in Nano-CMOS Design by Yun Ye A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved April 2011 by the Graduate

More information

3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET

3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET Title 3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET Author(s) Gerrer, Louis; Amoroso, Salvatore Maria; Markov, Stanislav; Adamu-Lema, Fikru; Asenov, Asen Citation

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Understanding and Modeling AC BTI

Understanding and Modeling AC BTI Understanding and Modeling AC BTI Hans Reisinger, Tibor Grasser*, Karsten Ermisch, Heiko Nielen, Wolfgang Gustin, and Christian Schlünder Infineon Technologies, 85579 Neubiberg, am Campeon -2; Germany,

More information

A Unified Perspective of RTN and BTI

A Unified Perspective of RTN and BTI A Unified Perspective of RTN and BTI T. Grasser,K.Rott, H. Reisinger, M. Waltl,J.Franco, and B. Kaczer Institute for Microelectronics, TU Wien, Austria Infineon, Munich, Germany imec, Leuven, Belgium Abstract

More information

Modeling of PMOS NBTI Effect Considering Temperature Variation

Modeling of PMOS NBTI Effect Considering Temperature Variation Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, 100084, P.R. China

More information

Circuit Analysis and Defect Characteristics Estimation Method Using Bimodal Defect-Centric Random Telegraph Noise Model

Circuit Analysis and Defect Characteristics Estimation Method Using Bimodal Defect-Centric Random Telegraph Noise Model Circuit Analysis and Defect Characteristics Estimation Method Using Bimodal Defect-Centric Random Telegraph Noise Model March 17, 2016 TAU 2017 Michitarou Yabuuchi (Renesas System Design Co., Ltd.), Azusa

More information

Experimental characterization of BTI defects

Experimental characterization of BTI defects Experimental characterization of BTI defects B. Kaczer 1, V. V. Afanas ev, K. Rott 3,, F. Cerbu, J. Franco 1, W. Goes, T. Grasser, O. Madia, A. P. D. Nguyen, A. Stesmans, H. Reisinger 3, M. Toledano-Luque

More information

Correlation of single trapping and detrapping effects in drain and gate currents of nanoscaled nfets and pfets

Correlation of single trapping and detrapping effects in drain and gate currents of nanoscaled nfets and pfets Correlation of single trapping and detrapping effects in drain and gate currents of nanoscaled nfets and pfets M. Toledano-Luque 1,*, B. Kaczer 1, E. Simoen 1, R. Degraeve 1, J. Franco 1,3, Ph. J. Roussel

More information

A New Approach for Computation of Timing Jitter in Phase Locked Loops

A New Approach for Computation of Timing Jitter in Phase Locked Loops A New Approach for Computation of Timing Jitter in Phase ocked oops M M. Gourary (1), S. G. Rusakov (1), S.. Ulyanov (1), M.M. Zharov (1),.. Gullapalli (2), and B. J. Mulvaney (2) (1) IPPM, Russian Academy

More information

Comparative Analysis of Simulation of Trap Induced. Threshold Voltage Fluctuations for 45 nm Gate Length n- MOSFET and Analytical Model Predictions

Comparative Analysis of Simulation of Trap Induced. Threshold Voltage Fluctuations for 45 nm Gate Length n- MOSFET and Analytical Model Predictions Comparative Analysis of Simulation of Trap Induced Threshold Voltage Fluctuations for 45 nm Gate Length n- MOSFET and Analytical Model Predictions by Nabil Shovon Ashraf A Dissertation Presented in Partial

More information

arxiv: v1 [cond-mat.mtrl-sci] 18 Feb 2010

arxiv: v1 [cond-mat.mtrl-sci] 18 Feb 2010 Logarithmic behavior of degradation dynamics in metal oxide semiconductor devices Roberto da Silva arxiv:1002.3571v1 [cond-mat.mtrl-sci] 18 Feb 2010 Instituto de Informática - UFRGS Gilson I. Wirth Escola

More information

Self-heat Modeling of Multi-finger n-mosfets for RF-CMOS Applications

Self-heat Modeling of Multi-finger n-mosfets for RF-CMOS Applications Self-heat Modeling of Multi-finger n-mosfets for RF-CMOS Applications Hitoshi Aoki and Haruo Kobayashi Faculty of Science and Technology, Gunma University (RMO2D-3) Outline Research Background Purposes

More information

Tunnel-FET: bridging the gap between prediction and experiment through calibration

Tunnel-FET: bridging the gap between prediction and experiment through calibration Tunnel-FET: bridging the gap between prediction and experiment through calibration Anne Verhulst Quentin Smets, Jasper Bizindavyi, Mazhar Mohammed, Devin Verreck, Salim El Kazzi, Alireza Alian, Yves Mols,

More information

COTS BTS Testing and Improved Reliability Test Methods

COTS BTS Testing and Improved Reliability Test Methods 2015 August 2015 SiC MOS Program Review COTS BTS Testing and Improved Reliability Test Methods Aivars Lelis, Ron Green, Dan Habersat, and Mooro El Outline Lelis (and Green) : COTS BTS results Standard

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

A characteriza+on/reliability oriented simula+on framework modeling charge transport and degrada+on in dielectric stacks

A characteriza+on/reliability oriented simula+on framework modeling charge transport and degrada+on in dielectric stacks A characteriza+on/reliability oriented simula+on framework modeling charge transport and degrada+on in dielectric stacks Luca Larcher University of Modena and Reggio Emilia MDLab Italy Outline Simula=on

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

EE 330 Lecture 16. Devices in Semiconductor Processes. MOS Transistors

EE 330 Lecture 16. Devices in Semiconductor Processes. MOS Transistors EE 330 Lecture 16 Devices in Semiconductor Processes MOS Transistors Review from Last Time Model Summary I D I V DS V S I B V BS = 0 0 VS VT W VDS ID = μcox VS VT VDS VS V VDS VS VT L T < W μc ( V V )

More information

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability A. Asenov 1,2, E. A. Towie 1!! 1 Gold Standard Simulations Ltd 2 Glasgow University! Summary!! Introduction!! FinFET complexity

More information

in Electronic Devices and Circuits

in Electronic Devices and Circuits in Electronic Devices and Circuits Noise is any unwanted excitation of a circuit, any input that is not an information-bearing signal. Noise comes from External sources: Unintended coupling with other

More information

Non Volatile Memories Compact Models for Variability Evaluation

Non Volatile Memories Compact Models for Variability Evaluation Non Volatile Memories Compact Models for Variability Evaluation Andrea Marmiroli MOS-AK/GSA Workshop April 2010 Sapienza Università di Roma Outline Reasons to address variability aspects Physics based

More information

Analysis and Design of Analog Integrated Circuits Lecture 14. Noise Spectral Analysis for Circuit Elements

Analysis and Design of Analog Integrated Circuits Lecture 14. Noise Spectral Analysis for Circuit Elements Analysis and Design of Analog Integrated Circuits Lecture 14 Noise Spectral Analysis for Circuit Elements Michael H. Perrott March 18, 01 Copyright 01 by Michael H. Perrott All rights reserved. Recall

More information

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor Future Trends in Microelectronics Impact on Detector Readout Paul O Connor Outline CMOS Technology Scaling Analog Circuits Radiation Effects Cost Detector Development Symposium Paul O'Connor BNL April

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation X. Cai, J. Lin, D. A. Antoniadis and J. A. del Alamo Microsystems Technology Laboratories, MIT December 5, 2016 Sponsors:

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

RTS Noise in Si MOSFETs and GaN/AlGaN HFETs

RTS Noise in Si MOSFETs and GaN/AlGaN HFETs RTS Noise in Si MOSFETs and GaN/AlGaN HFETs JAN PAVELKA *, JOSEF ŠIKULA *, MUNECAZU TACANO ** * FEEC, Brno University of Technology Technicka 8, Brno 66, CZECH REPUBLIC ** AMRC, Meisei University 2-- Hodokubo,

More information

THE RANDOM telegraph noise (RTN) is a growing reliability

THE RANDOM telegraph noise (RTN) is a growing reliability This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI.9/TCAD.25.2574,

More information

Lecture 23: Negative Resistance Osc, Differential Osc, and VCOs

Lecture 23: Negative Resistance Osc, Differential Osc, and VCOs EECS 142 Lecture 23: Negative Resistance Osc, Differential Osc, and VCOs Prof. Ali M. Niknejad University of California, Berkeley Copyright c 2005 by Ali M. Niknejad A. M. Niknejad University of California,

More information

SAMURAI: An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs

SAMURAI: An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs SAMURAI: An accurate method for modelling and simulating non-stationary Random Telegraph Noise in SRAMs Karthik.V. Aadithya, Alper Demir, Sriramkumar Venugopalan and Jaijeet Roychowdhury Department of

More information

Investigation of the Thermal Noise of MOS Transistors under Analog and RF Operating Conditions

Investigation of the Thermal Noise of MOS Transistors under Analog and RF Operating Conditions Investigation of the Thermal Noise of MOS Transistors under Analog and RF Operating Conditions Ralf Brederlow 1, Georg Wenig 2, and Roland Thewes 1 1 Infineon Technologies, Corporate Research, 2 Technical

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations

An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations Jinbo Wan and Hans G. Kerkhoff Testable Design and Testing of Integrated Systems Group, CTIT, University of Twente

More information

24.2: Self-Biased, High-Bandwidth, Low-Jitter 1-to-4096 Multiplier Clock Generator PLL

24.2: Self-Biased, High-Bandwidth, Low-Jitter 1-to-4096 Multiplier Clock Generator PLL 24.2: Self-Biased, High-Bandwidth, Low-Jitter 1-to-4096 Multiplier Clock Generator PLL John G. Maneatis 1, Jaeha Kim 1, Iain McClatchie 1, Jay Maxey 2, Manjusha Shankaradas 2 True Circuits, Los Altos,

More information

I. INTRODUCTION (1) Fig. 1. Dynamic NOR.

I. INTRODUCTION (1) Fig. 1. Dynamic NOR. 2238 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 8, SEPTEMBER 2008 Probabilistic Approach for Yield Analysis of Dynamic Logic Circuits Lucas Brusamarello, Student Member,

More information

Breakdown Characterization

Breakdown Characterization An Array-Based Test Circuit it for Fully Automated Gate Dielectric Breakdown Characterization John Keane, Shrinivas Venkatraman, Paulo Butzen*, and Chris H. Kim *State University of Rio Grande do Sul,

More information

Lecture 11: MOSFET Modeling

Lecture 11: MOSFET Modeling Digital Integrated Circuits (83-313) Lecture 11: MOSFET ing Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 18 June 2017 Disclaimer: This course was prepared, in its entirety,

More information

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS s and Circuits Palkesh Jain Texas Instruments, Banglore, India palkesh@ti.com D. V. Kumar, J. M. Vasi, and M. B. Patil Department

More information

The Impact of BTI Variations on Timing in Digital Logic Circuits

The Impact of BTI Variations on Timing in Digital Logic Circuits IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY The Impact of BTI Variations on Timing in Digital Logic Circuits Jianxin Fang and Sachin S. Sapatnekar, Fellow, IEEE Abstract A new framework for analyzing

More information

3586 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 11, NOVEMBER 2014

3586 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 11, NOVEMBER 2014 3586 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 11, NOVEMBER 2014 NBTI in Nanoscale MOSFETs The Ultimate Modeling Benchmark Tibor Grasser, Karina Rott, Hans Reisinger, Michael Waltl, Franz Schanovsky,

More information

1292 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014

1292 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014 1292 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014 Modeling Carrier Mobility in Nano-MOSFETs in the Presence of Discrete Trapped Charges: Accuracy and Issues Salvatore Maria Amoroso,

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Sub-Boltzmann Transistors with Piezoelectric Gate Barriers

Sub-Boltzmann Transistors with Piezoelectric Gate Barriers Sub-Boltzmann Transistors with Piezoelectric Gate Barriers Raj Jana, Gregory Snider, Debdeep Jena Electrical Engineering University of Notre Dame 29 Oct, 2013 rjana1@nd.edu Raj Jana, E3S 2013, Berkeley

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

The PSP compact MOSFET model An update

The PSP compact MOSFET model An update The PSP compact MOSFET model An update Gert-Jan Smit, Andries Scholten, D.B.M. Klaassen NXP Semiconductors Ronald van Langevelde Philips Research Europe Gennady Gildenblat, Weimin Wu, Xin Li, Amit Jha,

More information

Choice of V t and Gate Doping Type

Choice of V t and Gate Doping Type Choice of V t and Gate Doping Type To make circuit design easier, it is routine to set V t at a small positive value, e.g., 0.4 V, so that, at V g = 0, the transistor does not have an inversion layer and

More information

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

Spring Semester 2012 Final Exam

Spring Semester 2012 Final Exam Spring Semester 2012 Final Exam Note: Show your work, underline results, and always show units. Official exam time: 2.0 hours; an extension of at least 1.0 hour will be granted to anyone. Materials parameters

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors

Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors J. A. del Alamo and J. Joh* Microsystems Technology Laboratories, MIT, Cambridge, MA *Presently with

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

DC and Transient Responses (i.e. delay) (some comments on power too!)

DC and Transient Responses (i.e. delay) (some comments on power too!) DC and Transient Responses (i.e. delay) (some comments on power too!) Michael Niemier (Some slides based on lecture notes by David Harris) 1 Lecture 02 - CMOS Transistor Theory & the Effects of Scaling

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Study of dynamics of charge trapping in a-si:h/sin TFTs

Study of dynamics of charge trapping in a-si:h/sin TFTs Study of dynamics of charge trapping in a-si:h/sin TFTs A.R.Merticaru, A.J.Mouthaan, F.G.Kuper University of Twente P.O.Box 217, 7500 AE Enschede Phone:+31 53 4892754 Fax: +31 53 4891034 E-mail: a.r.merticaru@el.utwente.nl

More information

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow EE 330 Lecture 16 MOSFET Modeling CMOS Process Flow Model Extensions 300 Id 250 200 150 100 50 300 0 0 1 2 3 4 5 Vds Existing Model 250 200 Id 150 100 50 Slope is not 0 0 0 1 2 3 4 Actual Device Vds Model

More information

Floating Gate Devices: Operation and Compact Modeling

Floating Gate Devices: Operation and Compact Modeling Floating Gate Devices: Operation and Compact Modeling Paolo Pavan (1), Luca Larcher (1) and Andrea MarmirolI (2) (1) Università di Modena e Reggio Emilia, Via Fogliani, 1 42100 Reggio Emilia (Italy) -

More information

Estimation of Instantaneous Frequency Fluctuation in a Fast DVFS Environment Using an Empirical BTI Stress-Relaxation Model

Estimation of Instantaneous Frequency Fluctuation in a Fast DVFS Environment Using an Empirical BTI Stress-Relaxation Model Estimation of Instantaneous Fluctuation in a Fast DVFS Environment Using an Empirical BTI Stress-Relaxation Model Chen Zhou, Xiaofei Wang, Weichao Xu, *Yuhao Zhu, *Vijay Janapa Reddi, and Chris H. Kim

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 49 (2009) 642 649 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Impacts of NBTI and PBTI on SRAM

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

DC and AC modeling of minority carriers currents in ICs substrate

DC and AC modeling of minority carriers currents in ICs substrate DC and AC modeling of minority carriers currents in ICs substrate Camillo Stefanucci, Pietro Buccella, Maher Kayal and Jean-Michel Sallese Swiss Federal Institute of Technology Lausanne, Switzerland MOS-AK

More information

Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions

Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions 1 Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions Chunyan Wang Abstract A piecewise nonlinear approach to the nonlinear circuit design has been proposed in this

More information

Oxide Traps in MOS Transistors: Semi-Automatic Extraction of Trap Parameters from Time Dependent Defect Spectroscopy

Oxide Traps in MOS Transistors: Semi-Automatic Extraction of Trap Parameters from Time Dependent Defect Spectroscopy Oxide Traps in MOS Transistors: Semi-Automatic Extraction of Trap Parameters from Time Dependent Defect Spectroscopy P.-J. Wagner, T. Grasser, H. Reisinger, and B. Kaczer Christian Doppler Laboratory for

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Effects of Scaling on Modeling of Analog RF MOS Devices

Effects of Scaling on Modeling of Analog RF MOS Devices Effects of Scaling on Modeling of Analog RF MOS Devices Y. Liu, S. Cao, T.-Y. Oh 1, B. Wu, O. Tornblad 2, R. Dutton Center for Integrated Systems, Stanford University 1 LG Electronics 2 Infineon Technologies

More information

A study of the silicon Bulk-Barrier Diodes designed in planar technology by means of simulation

A study of the silicon Bulk-Barrier Diodes designed in planar technology by means of simulation Journal of Engineering Science and Technology Review 2 (1) (2009) 157-164 Research Article JOURNAL OF Engineering Science and Technology Review www.jestr.org A study of the silicon Bulk-Barrier Diodes

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU SPICE odeling of STT-RA for Resilient Design Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU OUTLINE - 2 - Heterogeneous emory Design A Promising Candidate:

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information

Classification of Solids

Classification of Solids Classification of Solids Classification by conductivity, which is related to the band structure: (Filled bands are shown dark; D(E) = Density of states) Class Electron Density Density of States D(E) Examples

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

A New Surface Potential-based Compact Model for IGZO TFTs in RFID Applications

A New Surface Potential-based Compact Model for IGZO TFTs in RFID Applications A New Surface Potential-based Compact Model for IGZO TFTs in RFID Applications Ling Li, Guanhua Yang, Ming Liu Institute of Microelectronics,Chinese Academy of Sciences, China Outline Introduction of IGZO

More information

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Previously Understand how to model transistor behavior Given that we know its parameters V dd, V th, t OX, C OX, W, L, N A Day

More information

Countermeasures against NBTI degradation on 6T-SRAM cells

Countermeasures against NBTI degradation on 6T-SRAM cells Adv. Radio Sci., 9, 255 261, 2011 doi:10.5194/ars-9-255-2011 Author(s) 2011. CC Attribution 3.0 License. Advances in Radio Science Countermeasures against NBTI degradation on 6T-SRAM cells E. Glocker,

More information

Lecture 28 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 18, 2007

Lecture 28 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 18, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 28-1 Lecture 28 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 18, 2007 Contents: 1. Second-order and

More information