Impact of RDF and RTS on the performance of SRAM cells

Size: px
Start display at page:

Download "Impact of RDF and RTS on the performance of SRAM cells"

Transcription

1 J Comput Electron (2010) 9: DOI /s Impact of RDF and RTS on the performance of SRAM cells Vinícius V.A. Camargo Nabil Ashraf Lucas Brusamarello Dragica Vasileska Gilson Wirth Published online: 2 November 2010 Springer Science+Business Media LLC 2010 Abstract This paper investigates electrical effects due to reliability phenomena associated with the downscaling of transistors in advanced technologies, particularly Random Telegraph Signal (RTS) and Random Dopant Fluctuations (RDF). RDF and RTS are becoming important issues in sub- 60 nm technologies, mainly in memories, where transistor sizes are smaller. This paper presents a comprehensive evaluation of the impact of the joint effect of RTS and RDF on 6T-SRAM cells. Based on 3-D atomistic simulation of the device structure, doping profile, and trap location, the random threshold voltage variation caused by the joint effect of RDF and RTS is evaluated. The derived threshold voltage variation is then used to statistically evaluate the performance variation at the circuit level. Finally, the impact of assuming a normal distribution for the threshold voltage variation caused by RDF and RTS is studied. The results obtained running Monte Carlo simulations raffling the value of the variation of the threshold voltage from a database obtained by 3-D atomistic simulation are compared to the results obtained running Monte Carlo simulations considering the variation of the threshold voltage as a Normal distribution. The results show that for a 6T-SRAM designed with 45 nm technology the read noise margin distributions are very similar between the two cases, making the Normal distribution a good approximation for the V th variations. Nevertheless, the performance variation induced at the circuit level does not necessarily follow a normal distribution. V.V.A. Camargo ( ) L. Brusamarello G. Wirth Universidade Federal do Rio Grande do Sul UFRGS, Porto Alegre, Brazil vvacamargo@inf.ufrgs.br N. Ashraf D. Vasileska Arizona State University ASU, Tempe, USA Keywords Random telegraph signal RTS Random dopant fluctuation RDF SRAM Reliability Variability 1 Introduction Random Telegraph Signal (RTS) is a statistical effect caused by traps at the Si/SiO 2 interface on MOS transistors operation. This effect, despite being detectable in older technologies, becomes more noticeable in sub-60 nm technologies. This happens due to the miniaturization of the transistors sizes which causes the electrical variations due to RTS to be up to 10% of the nominal electrical parameters, e.g. threshold voltage (V th ). This issue is aggravated in SRAM and flash memories because, in order to increase their integration density, these circuits are produced at the limit of the technology using sizing as small as possible [1]. RTS noise originates from the alternate capture and emission of charge carriers by traps (defects) at the Si/SiO 2 interface, causing discrete drain current fluctuations. This component is a random signal that oscillates between discrete levels with a random period, naming the effect. The traps can become filled with charge carriers which should be in the channel contributing to current conduction. Once the carrier is trapped it causes a change in channel current. On the other hand, Random Dopant Fluctuations (RDF) are the variations in the crystalline Si structure due to the variations in the number of dopant atoms in the channel, as well as its irregular distribution. This effect nowadays represents one of the greatest challenges for the industry. Recent works study different sources of variability, including Line Edge Roughness (LER) and polygate granularity (PGG) [2, 3], and concluded that random discrete dopants are still the dominant intrinsic source of statistical variability.

2 J Comput Electron (2010) 9: Fig. 1 Histogram of the Threshold Voltage variation The impact of RDF and RTS on SRAM memories can be divided in two parts, during the read process where the memory can change its state and during reading or writing where the memory can violate the time constraints. The main issue on memories can be considered the flip on the memory value during a read process. The analysis of this failure is made using the read noise margin (RNM). This paper will present the analysis of RNM of a SRAM cell and compare two models: (1) the reference (evaluations through 3D atomistic simulations) model and (2) the Normal approximation counterpart of method 1. Both methods consist on running Monte Carlo simulations raffling the variation of the threshold voltage for each transistor due to RTS and RDF. In the first method the variations are raffled from a database of variations on the threshold voltage that were generated by device simulations [4]. In the second method the Monte Carlo simulation is performed defining V th as a Normal distribution with the mean and the standard deviation calculated from the same database used in the first method. This comparison aims to evaluate the possibility of considering V th variations due to RDF and RTS as a Normal distribution. Although the variation on the threshold voltage is clearly not a normal distribution as we can see on Fig. 1, the advantages of considering it to be Normal during circuit level analysis and simulation are enormous, since most electronic design automation tools provide support to work with Normal distributions in a computationally efficient way. Detailed experimental work on RTS based instability in scaled flash memory arrays that discusses the evidence of exponential tail in the statistical spread in V th is given in [5]. In our simulation experiments the data statistics for EMC device simulation is based on 20 different fully random dopant distributions in the channel and bulk region of the MOSFET. From our extracted V th values from different Fig. 2 Threshold voltage distribution for the cases of 20 different fully randomized channel and bulk dopant distributions random dopants, the distribution do seem to show decreasing tail for higher V th separations (see following Fig. 2). This manuscript is organized as follows. First it presents RDF and RTS fluctuation which motivates the need for compact models to incorporate these effects and, thus, propagate their impact to circuit level modeling. Then it presents SRAM memory cell, the main metrics to evaluate SRAM cells and detailed description on Read Noise Margin of the SRAM cell. Then presents the results of the SRAM simulations and finally summarizes the main conclusions of this work. 2 Atomistic 3D simulations evaluating RDF and RTS RTS is caused by the capture and release of electrons by traps at the interface and bulk of the gate dielectrics. These captures and emissions cause oscillations in the transistor current. The induced current fluctuations may strongly vary between different devices on a same chip, and moreover even between different operation points of a single transistor. Atomistic three dimensional (3D) simulations were performed to study the impact of both RDF and RTS on the electrical performance of the device. Ensemble Monte Carlo (EMC) device simulations were conducted considering statistical fluctuations of the channel dopant number and position, known as RDF. In addition to RDF, the impact of interface traps lying close to the Si/SiO 2 interface was also simulated. In this context, EMC based device simulation is applied to evaluate the threshold voltage fluctuation due to both RDF and trap induced RTS noise. In contrast to 3D particle-based device simulations that accurately capture the electrostatics and the transport ef-

3 124 J Comput Electron (2010) 9: fects, 3D Drift-Diffusion (DD) simulations capture accurately only the electrostatic effects associated with random discrete dopant distributions (thus providing less accurate estimate for the variations in the threshold voltage and the drive current). DD simulations cannot capture the complex effects associated with the variation in carrier transport that significantly modulates the scattering cross section of a carrier in the vicinity of a trap altering the carrier s mobility [6]. Therefore the estimates for the variation in the drive current obtained from DD simulation will under estimate the real variation even in low to moderate gate voltage variations used to extract threshold voltage of the device. Using our EMC device simulator we have evaluated the total variation of the threshold voltage as a function of a double trap (that can capture two charge carriers simultaneously) position when the double trap is moved from the source end to the drain end of the channel (L direction). Along the channel width (W) direction, the double trap is positioned at the middle of the channel width. Because of the proximity of the traps and the fact of them being at the interface right in the vicinity of the channel the fluctuation cause by these double traps is increased and this will generate overly pessimistic results on the working of the cell. Details of these 3D atomistic simulations are presented in [4, 7, 8]. Here we briefly underscore our EMC device simulation scheme. A conventional EMC device simulator that utilizes non-parabolic band structure and was developed at ASU is supplemented by a novel molecular dynamics routine. In this approach, the mutual Coulomb interaction among electrons and impurities is treated in the drift part of the MC transport kernel. Indeed, the various aspects associated with the Coulomb interaction, such as dynamical screening and multiple scatterings, are automatically taken into account. Since a part of the Coulomb interaction is already taken into account by the solution of the Poisson equation, the MD treatment of the Coulomb interaction is restricted only to the limited area near the charged particles. It is claimed that the full incorporation of the Coulomb interaction is indispensable to reproduce the correct electron mobility in highly doped silicon samples [8]. Variations in drain current can then be modeled as momentary changes in threshold voltage. It is already well established that the variation in drain current due to RTS can be modeled as momentary changes in gate bias [9], induced by electron trapping and emission. This approach is adequate to model RTS as a dynamic source of variation. In circuit analysis, this source of variation may be included as one more parameter that can cause circuit performance variability, in addition to the other sources, as for instance the static, non time dependent, variations caused by RDF and also the variations caused by Negative Bias Temperature Instability (NBTI). A comprehensive model for RTS in time domain is presented in [10], deriving the relevant statistical Fig. 3 Percentage threshold voltage variation due to two traps located at the semiconductor/oxide interface and different positions along the middle section of the channel. 20 devices with different random dopant distributions have been averaged out. x = 0 denotes source end of the channel parameters. The model is suitable for analysis of digital circuits. The physical explanations regarding fluctuations of threshold voltage as determined by EMC simulation in presence of RDF and traps positioned from source end towards the drain end in close proximity to one another is discussed first. From the simulation results shown in Fig. 3 we see that the threshold voltage fluctuation increases from its average value when this trap is located at the source end of the channel. This is due to the fact that carriers see additional large potential barrier due to the presence of the charged double trap and are reflected back in the source contact. The threshold voltage fluctuation rapidly reduces when the double trap is moved away from the source injection barrier because when the electrons are injected in the channel, even though the electric field is small (due to small drain bias applied when measuring threshold voltage), they slowly drift towards the drain contact. Figure 4 schematically explains this effect. 3 Static Random Access Memory (SRAM) Yield analysis of SRAM memories using Monte Carlo and error propagation at electrical level for yield analysis considering variations on the V th has been studied in [11, 12] and [13]. Process variation induces shifts in different parameters, such as V th, critical dimensions W and L, Tox, mobility and other electrical parameters of the transistor. For modeling purposes, these components can be reduced to a compact model where only V th represents the variations in IV curves due to all sources. This approach is widely employed because of its simplicity and concordance with measured data [14]. In these works failures in SRAM cell are

4 J Comput Electron (2010) 9: Fig. 4 Schematic explanations of the results presented in Fig. 3. (Traps near the source end of the channel have the largest influence since they are major obstacles to the electrons because of the large input barrier depicted in case (a). Traps near the drain end of the channel have smaller influence since electrons are accelerated by the small electric field case (b) Fig. 5 6-transistors SRAM cell statistically modeled (access time failure, read failure, write failure and hold failure), and yield of SRAM memory is given as function of SRAM cell yield. The schematic of a typical 6-T SRAM cell is shown in Fig. 5. Statistical behavior of circuit response may be caused, for instance, by lithography and random dopant fluctuations. In this work it was considered the statistical variation on V th to be due to random dopant fluctuation and the traps (defects) at the Si/SiO 2 interface. The failure probability in a SRAM memory array is given as function of the number of columns, number of rows, number of redundant columns, and the probability of a SRAM cell to work properly in the presence of variability. Failures in SRAM cells can be due to: Access time violation when reading the value stored in a cell, bit line and negated bit line are set to V DD, and when the word line (wl) is set to V DD, one of them discharges (through M1 M3 or M5 M6). The access time (T AC ) is defined as the time required to discharge a secure margin of the bitline. As the maximum access time (T MAX ) is a design input related Fig. 6 Butterfly curves during read operation to chip frequency, violation occurs when T AC of the cell is greater than T MAX. The access time is a non-linear function of V th, but its inverse can be considered linear [15]. Read failure when reading the content of a cell, bit line or negated bit line discharges (through M1 M3 or M5 M6). This causes input of one of the inverters (M2 M3 or M5 M6) to be charged to voltage V READ. If maximum V READ is greater than V READ trip point V TRIP of the inverter, read operation will cause the stored bit to erroneously flip. Read failure can be modeled as a linear function of V th [15]. Write failure to write a value to the cell, bit line and negated bit line are set to the proper values, and then wl is set to V DD for a time T WL in order to the signals to be stored in the cell. Consider that signal takes T WRITE to be written to the cell, then it must apply T WRITE >T WL,otherwise the signal will not be successfully stored. Although write stability is not linear with V th, its inverse is so [15]. Hold voltage failure in order to diminish SRAM power consumption, voltage V DD is set to a value during sleep mode of the chip. But V HOLD must be secure enough to maintain the value stored in the cell, otherwise it will happen the value to be erroneously flipped or inconsistent during sleep mode. In this work we will only take into account the read failure. The method used to simulate the read failure is the Read Noise Margin (RNM). The read noise margin of the SRAM cell is the minimum noise (DC voltage) which flips the content of the cell during the reading process. One way of computing the Read Noise Margin (RNM) is from the analysis of the butterfly curve of the SRAM cell. Figure 6 presents the butterfly curve of the SRAM cell used in the simulations. In order to simulate the Read Noise Margin, the SRAM cell was divided in two parts, the left and the right side. The left side contains the transistors M1, M2 and M3 while the right side contains the transistors M4, M5 and M6. The

5 126 J Comput Electron (2010) 9: transfer functions for each side of the circuit are called f and g, respectively. According to [11] it is computed by: LoopGain(V L ) = f R gr (1) V L V R VR f R (V L ) The RNM is defined as the V L that causes the loop gain to be equal to 1, as defined by the following equation: RNM L = VL(flip) Rd grd( f Rd( VL(flip) Rd )) (2) The result of (2) is the Read Noise Margin of the left side of the cell. The RNM of the right side, RNM R, is computed similarly. The cell Read Noise Margin is then given by the weaker side of the cell as in RNM = min(rnm R, RNM L ). RNM either indicates that the cell has a stable reading process when its value is greater than zero or indicates a faulty cell otherwise. Such convenient and accurate approach for modeling Read Noise Margin was formulated by [11]. In order to simulate the RNM a DC simulation is run for each side of the SRAM cell obtaining the transfer function of f and g. A post-processing is performed by helper scripts, which automatically compute RNM from a Monte Carlo sample of DC simulations. 4 Results and discussion After the evaluation of the statistical parameters of the threshold voltage fluctuations at the device level, electrical (Spice) level simulations are conducted, aiming to evaluate the impact of both RDF and RTS at the circuit level, in this case a SRAM cell. All electrical simulations were performed using HSpice from Synopsys as the simulation tool. The model used for the transistors is the PTM of 45 nm [16 18], the temperature is 25 C and the V dd equal to 1 V. The database containing the variation on the threshold voltage was obtained using atomistic simulations mentioned before. Both Monte Carlo simulations consist of sample size of All the transistors have the minimum gate length which is equal to 45 nm. The sizing of the cells used in these simulation was made from a linear scaling from the 6T-SRAM presented in [19]. The values of the width obtained with this linear scale are 65 nm for M1, M2, M4 and M5 and 90 nm for M3 and M6. This leads to a β ratio of The nominal value of the Read Noise Margin of the SRAM cell is V. The fact that the SRAM cell used in this work may not be as optimized as the ones designed for commercial usage may have made his performance and reliability lower than the ones commercially available. However, it is good enough for the sake of comparing the techniques, since they should be independent of the cell scale. Fig. 7 Kernel density function obtained using both methods Figure 7 presents the simulated results obtained by running Monte Carlo simulations using the measured data and the Normal approximation, respectively called method 1 and method 2. Sample size used for both approaches is The percentage of failing cells obtained by the simulations is 31.73% when using the first method and 28.73% when using the second. The percentage of failing cell is very similar and in a first order, the PDFs obtained using both methods are similar. This confirms our hypothesis that, although RTS has a significant contribution to V th fluctuation, the combined effect of RTS and RDF can be assumed to follow a Normal Distribution for the purpose of propagating these device-level variations to circuit level. Since Gaussian distributions can be handled easier by standard EDA tools than arbitrary distributions, the circuit-level response is simpler to compute under assumption of Normality. Another relevant information is that the failure probability is too high. As said before, this happens due to two main reasons. The first one is that the SRAM cell used in this work is not as optimized as it can be in a commercial design, resulting in an intrinsic read noise margin of V. The second reason that leads to this unusual failure probability is the fact that the V th variation used were obtained considering that there are two traps in each transistor, the traps are close to each other and they are all occupied at the moment where the cell is being read. This is a very pessimistic case and the results show that even when this happens, 68.27% of the cells continue to work as they should. We also emphasize that despite of the general assumption that RNM is nearly linear for small variations in V th [11], such assumption does not hold for 45 nm technology node in the range of V th variations caused by RDF combined with RTS. Figure 8 illustrates RNM as being clearly non-linear in respect to V th s of the 3 transistors of the SRAM cell, varying independently. This happens partially because of the cell design (sizing).

6 J Comput Electron (2010) 9: Fig. 8 Read Noise Margin in function of the variation of the V th on M1, M2 and M3 5 Conclusions This work presents a comparison between two methods for simulating the combined effect of RDF and RTS on a SRAM. The first method is based on atomistic 3D simulations and is considered true by definition. The goal of the work was to validate the second method, which is based on the assumption of Normality of the combined effect of RTS and RDF, because it is computationally simpler than the first one. The results of the simulations with both methods are very similar which supports the assumption that it is a good approximation to model the V th as a Normal distribution. However, for large variations on the V th on small technologies the Read Noise Margin is not linear function of V th anymore. Due to this, the RNM is not expected to follow a Normal distribution on advanced deep-submicron technologies. References 1. Tega, N., et al.: Anomalously large threshold voltage fluctuation by complex random telegraph signal in floating gate flash memory. In: International Electron Devices Meeting, IEDM 06, Dec. 2006, pp. 1 4 (2006) 2. Cathignol, A., Cheng, B., Chanemougame, D., Brown, A.R., Rochereau, K., Ghibaudo, G., Asenov, A.: Quantitative evaluation of statistical variability sources in a 45-nm technological node LP N-MOSFET. IEEE Electron Device Lett. 29(6), (2008) 3. Ye, Y., Liu, F., Nassif, S., Yu, C.: Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness. In: 45th ACM/IEEE Design Automation Conference, DAC, 8 13 June 2008, pp (2008) 4. Vasileska, D., Ahmed, S.S.: Narrow-width SOI devices: the role of quantum mechanical size quantization effect and the unintentional doping on the device operation. IEEE Trans. Electron Devices 52(2), (2005) 5. Spinelli, A.S., Compagnoni, C.M., Gusmeroli, R., Ghidotti, M., Visconti, A.: Investigation of the random telegraph noise instability in scaled flash memory arrays. Jpn. J. Appl. Phys. 47(4), (2008) 6. Alexander, C.L., Brown, A.R., Watling, J.R., Asenov, A.: Impact of single charge trapping in nano-mosfets-electrostatics versus transport effects. IEEE Trans. Nanotechnol. 4(3), (2005) 7. Gross, W.J., Vasileska, D., Ferry, D.K.: Ultrasmall MOSFETs: the importance of the full coulomb interaction on device characteristics. IEEE Trans. Electron Devices 47(10), (2000) 8. Gross, W.J., Vasileska, D., Ferry, D.K.: A novel approach for introducing the electron-electron and electron-impurity interactions in particle-based simulations. IEEE Electron Device Lett. 20(9), (1999) 9. Wirth, G.I., da Silva, R., Brederlow, R.: Statistical model for the circuit bandwidth dependence of low-frequency noise in deepsubmicrometer MOSFETs. IEEE Trans. Electron Devices 54, (2007) 10. Brusamarello, L., Wirth, G.I., da Silva, R., Brederlow, R.: Statistical model for the circuit bandwidth dependence of low-frequency noise in deep-submicrometer MOSFETs. IEEE Trans. Electron Devices 54, (2007) 11. Agarwal, A., et al.: Process variation in embedded memories: failure analysis and variation aware architecture. IEEE J. Solid-State Circuits 9, (2005) 12. Mukhopadhyay, S., Mahmoodi, H., Roy, K.: Statistical design and optimization of SRAM cell for yield enhancement. In: ICCAD- 2004, IEEE/ACM International Conference on Computer Aided Design, pp (2004) 13. Mukhopadhyay, S., Mahmoodi-Meimand, H., Roy, K.: Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMS for yield enhancement. In: Symposium on VLSI Circuits Digest of Technical Papers, pp (2004) 14. Nassif, S., Bernstein, K., Frank, D.J., Gattiker, A., Haensch, W., Ji, B.L., Nowak, E., Pearson, D., Rohrer, N.J.: High performance CMOS variability in the 65 nm regime and beyond. In: IEEE International Electron Devices Meeting, IEDM, Dec. 2007, pp (2007) 15. Agarwal, K., Nassif, S.: Statistical analysis of SRAM cell stability. In: Design Automation Conference, 43RD ACM/IEEE, pp (2006) 16. Balijepalli, A., Sinha, S., Cao, Y.: Compact modeling of carbon nanotube transistor for early stage process-design exploration. In: ISLPED 07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, Portland, OR, pp ACM, New York (2007) 17. Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans. Electron Devices 53(11), (2006) 18. Cao, Y., Sato, T., Sylvester, D., Orshansky, M., Hu, C.: New paradigm of predictive MOSFET and interconnect modeling for early circuit design. In: CICC, pp (2000) 19. Ohbayashi, S., Yabuuchi, M., Nii, K., et al.: A 65 nm soc embedded 6t SRAM design for manufacturing with read and write cell stabilizing circuits. In: Symposium on VLSI Circuits. Digest of Technical Papers, pp (2006)

Reliability of MOS Devices and Circuits

Reliability of MOS Devices and Circuits Reliability of MOS Devices and Circuits Gilson Wirth UFRGS - Porto Alegre, Brazil MOS-AK Workshop Berkeley, CA, December 2014 Variability in Nano-Scale Technologies Electrical Behavior / Parameter Variation

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Impact of scattering in ÔatomisticÕ device simulations

Impact of scattering in ÔatomisticÕ device simulations Solid-State Electronics 49 (2005) 733 739 www.elsevier.com/locate/sse Impact of scattering in ÔatomisticÕ device simulations C. Alexander *, A.R. Brown, J.R. Watling, A. Asenov Device Modelling Group,

More information

!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&!

!#$%&'(')*+,%*-'$(,.,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&! Università di Pisa!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$#%#'/(1+,%&'.,',+,(&$+#'3*'5.' 758!9&!!"#$%&'#()"*+"( H%8*'/%I-+/&#J%#)+-+-'%*#J-55K)+&'I*L%&+-M#5-//'&+%,*(#)+&'I*/%,*(#N-5-,&I=+%,*L%&+%(# @+%O-'.%/P#J%#F%.*#!"&,-..-(/#$$#''*$-(

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

Bipolar quantum corrections in resolving individual dopants in atomistic device simulation

Bipolar quantum corrections in resolving individual dopants in atomistic device simulation Superlattices and Microstructures 34 (2003) 327 334 www.elsevier.com/locate/superlattices Bipolar quantum corrections in resolving individual dopants in atomistic device simulation Gareth Roy, Andrew R.

More information

I. INTRODUCTION (1) Fig. 1. Dynamic NOR.

I. INTRODUCTION (1) Fig. 1. Dynamic NOR. 2238 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 8, SEPTEMBER 2008 Probabilistic Approach for Yield Analysis of Dynamic Logic Circuits Lucas Brusamarello, Student Member,

More information

Arizona State University, Tempe, AZ 85287, USA 2 Department of Electrical Engineering. Arizona State University, Tempe, AZ 85287, USA ABSTRACT

Arizona State University, Tempe, AZ 85287, USA 2 Department of Electrical Engineering. Arizona State University, Tempe, AZ 85287, USA ABSTRACT Accurate Three-Dimensional Simulation of Electron Mobility Including Electron-Electron and Electron-Dopant Interactions C. Heitzinger, 1 C. Ringhofer, 1 S. Ahmed, 2 D. Vasileska, 2 1 Department of Mathematics

More information

Comparative Analysis of Simulation of Trap Induced. Threshold Voltage Fluctuations for 45 nm Gate Length n- MOSFET and Analytical Model Predictions

Comparative Analysis of Simulation of Trap Induced. Threshold Voltage Fluctuations for 45 nm Gate Length n- MOSFET and Analytical Model Predictions Comparative Analysis of Simulation of Trap Induced Threshold Voltage Fluctuations for 45 nm Gate Length n- MOSFET and Analytical Model Predictions by Nabil Shovon Ashraf A Dissertation Presented in Partial

More information

Modeling and Simulation of Statistical Variability in Nanometer CMOS Technologies

Modeling and Simulation of Statistical Variability in Nanometer CMOS Technologies Modeling and Simulation of Statistical Variability in Nanometer CMOS Technologies A. Asenov and B. Cheng 1 Introduction The years of happy scaling are over and the fundamental challenges that the semiconductor

More information

Advanced Statistical Strategy for Generation of Non-Normally distributed PSP Compact Model Parameters and Statistical Circuit Simulation

Advanced Statistical Strategy for Generation of Non-Normally distributed PSP Compact Model Parameters and Statistical Circuit Simulation Advanced Statistical Strategy for Generation of Non-Normally distributed PSP Compact Model Parameters and Statistical Circuit Simulation Asen Asenov*,**, Urban Kovac*, Craig Alexander**, Daryoosh Dideban**,

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Simulation of Intrinsic Parameter Fluctuations in Decananometer and Nanometer-Scale MOSFETs

Simulation of Intrinsic Parameter Fluctuations in Decananometer and Nanometer-Scale MOSFETs IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 9, SEPTEMBER 2003 1837 Simulation of Intrinsic Parameter Fluctuations in Decananometer and Nanometer-Scale MOSFETs Asen Asenov, Member, IEEE, Andrew

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 2 Version 1.0 February 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher:

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability A. Asenov 1,2, E. A. Towie 1!! 1 Gold Standard Simulations Ltd 2 Glasgow University! Summary!! Introduction!! FinFET complexity

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

1292 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014

1292 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014 1292 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014 Modeling Carrier Mobility in Nano-MOSFETs in the Presence of Discrete Trapped Charges: Accuracy and Issues Salvatore Maria Amoroso,

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla An Analytical Approach to Efficient Circuit Variability Analysis in Scaled CMOS Design by Samatha Gummalla A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Intrinsic variability of nanoscale CMOS technology for logic and memory

Intrinsic variability of nanoscale CMOS technology for logic and memory UNIVERSITY OF PISA Engineering PhD School Leonardo da Vinci PhD Course in Applied Electromagnetism in Electrical and Biomedical Engineering, Electronics, Smart Sensors, Nano-Technologies PhD Thesis Intrinsic

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique

Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 6, JUNE 2000 1241 Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique Brian Winstead and Umberto Ravaioli,

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Threshold voltage shift of heteronanocrystal floating gate flash memory

Threshold voltage shift of heteronanocrystal floating gate flash memory JOURNAL OF APPLIED PHYSICS 97, 034309 2005 Threshold voltage shift of heteronanocrystal floating gate flash memory Yan Zhu, Dengtao Zhao, Ruigang Li, and Jianlin Liu a Quantum Structures Laboratory, Department

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Modeling and Simulation of Variations in Nano-CMOS Design. Yun Ye

Modeling and Simulation of Variations in Nano-CMOS Design. Yun Ye Modeling and Simulation of Variations in Nano-CMOS Design by Yun Ye A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved April 2011 by the Graduate

More information

Current mechanisms Exam January 27, 2012

Current mechanisms Exam January 27, 2012 Current mechanisms Exam January 27, 2012 There are four mechanisms that typically cause currents to flow: thermionic emission, diffusion, drift, and tunneling. Explain briefly which kind of current mechanisms

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

Choice of V t and Gate Doping Type

Choice of V t and Gate Doping Type Choice of V t and Gate Doping Type To make circuit design easier, it is routine to set V t at a small positive value, e.g., 0.4 V, so that, at V g = 0, the transistor does not have an inversion layer and

More information

Modeling of PMOS NBTI Effect Considering Temperature Variation

Modeling of PMOS NBTI Effect Considering Temperature Variation Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, 100084, P.R. China

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 49 (2009) 642 649 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Impacts of NBTI and PBTI on SRAM

More information

Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect Devices

Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect Devices Journal of Computational Electronics 1: 273 277, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect

More information

SINCE MOSFETs are downscaling into nanometer regime,

SINCE MOSFETs are downscaling into nanometer regime, 3676 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 11, NOVEMBER 013 Investigations on Line-Edge Roughness (LER) and Line-Width Roughness (LWR) in Nanoscale CMOS Technology: Part II Experimental Results

More information

Quantum Dot Structures Measuring Hamming Distance for Associative Memories

Quantum Dot Structures Measuring Hamming Distance for Associative Memories Article Submitted to Superlattices and Microstructures Quantum Dot Structures Measuring Hamming Distance for Associative Memories TAKASHI MORIE, TOMOHIRO MATSUURA, SATOSHI MIYATA, TOSHIO YAMANAKA, MAKOTO

More information

3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET

3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET Title 3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET Author(s) Gerrer, Louis; Amoroso, Salvatore Maria; Markov, Stanislav; Adamu-Lema, Fikru; Asenov, Asen Citation

More information

SRAM supply voltage scaling: a reliability perspective

SRAM supply voltage scaling: a reliability perspective SRAM supply voltage scaling: a reliability perspective Abstract SRAM leakage power is a significant fraction of the total power consumption on a chip. Traditional SRAM supply voltage scaling reduces the

More information

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION VLSI DESIGN 2001, Vol. 13, Nos. 4, pp. 459-- 463 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

This is the author s final accepted version.

This is the author s final accepted version. Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson- Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International

More information

Non Volatile Memories Compact Models for Variability Evaluation

Non Volatile Memories Compact Models for Variability Evaluation Non Volatile Memories Compact Models for Variability Evaluation Andrea Marmiroli MOS-AK/GSA Workshop April 2010 Sapienza Università di Roma Outline Reasons to address variability aspects Physics based

More information

Characteristics Optimization of Sub-10 nm Double Gate Transistors

Characteristics Optimization of Sub-10 nm Double Gate Transistors Characteristics Optimization of Sub-10 nm Double Gate Transistors YIMING LI 1,,*, JAM-WEM Lee 1, and HONG-MU CHOU 3 1 Departmenet of Nano Device Technology, National Nano Device Laboratories Microelectronics

More information

Simulation of statistical variability in nano-cmos transistors using drift-diffusion, Monte Carlo and non-equilibrium Green s function techniques

Simulation of statistical variability in nano-cmos transistors using drift-diffusion, Monte Carlo and non-equilibrium Green s function techniques J Comput Electron (2009) 8: 349 373 DOI 10.1007/s10825-009-0292-0 Simulation of statistical variability in nano-cmos transistors using drift-diffusion, Monte Carlo and non-equilibrium Green s function

More information

Indium arsenide quantum wire trigate metal oxide semiconductor field effect transistor

Indium arsenide quantum wire trigate metal oxide semiconductor field effect transistor JOURNAL OF APPLIED PHYSICS 99, 054503 2006 Indium arsenide quantum wire trigate metal oxide semiconductor field effect transistor M. J. Gilbert a and D. K. Ferry Department of Electrical Engineering and

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors Semi-Conductors In the metal materials considered earlier, the coupling of the atoms together to form the material decouples an electron from each atom setting it free to roam around inside the material.

More information

A -SiC MOSFET Monte Carlo Simulator Including

A -SiC MOSFET Monte Carlo Simulator Including VLSI DESIGN 1998, Vol. 8, Nos. (1-4), pp. 257-260 Reprints available directly from the publisher Photocopying permitted by license only (C) 1998 OPA (Overseas Publishers Association) N.V. Published by

More information

High Dielectric Constant (k) Materials

High Dielectric Constant (k) Materials Part 6: High Dielectric Constant (k), Gate Electrode, & Channel Materials O 2 gate ide is approaching physical limits Thickness & Current M O S poly-crystalline V Source W Source Contact Insulator n +

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Floating Gate Devices: Operation and Compact Modeling

Floating Gate Devices: Operation and Compact Modeling Floating Gate Devices: Operation and Compact Modeling Paolo Pavan (1), Luca Larcher (1) and Andrea MarmirolI (2) (1) Università di Modena e Reggio Emilia, Via Fogliani, 1 42100 Reggio Emilia (Italy) -

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

Review of Semiconductor Physics. Lecture 3 4 Dr. Tayab Din Memon

Review of Semiconductor Physics. Lecture 3 4 Dr. Tayab Din Memon Review of Semiconductor Physics Lecture 3 4 Dr. Tayab Din Memon 1 Electronic Materials The goal of electronic materials is to generate and control the flow of an electrical current. Electronic materials

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

CHAPTER 2 AN OVERVIEW OF TCAD SIMULATOR AND SIMULATION METHODOLOGY

CHAPTER 2 AN OVERVIEW OF TCAD SIMULATOR AND SIMULATION METHODOLOGY 15 CHAPTER 2 AN OVERVIEW OF TCAD SIMULATOR AND SIMULATION METHODOLOGY In this chapter TCAD and the various modules available in the TCAD simulator have been discussed. The simulation methodologies to extract

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

Glasgow eprints Service

Glasgow eprints Service Palmer, M.J. and Braithwaite, G. and Prest, M.J. and Parker, E.H.C. and Whall, T.E. and Zhao, Y.P. and Kaya, S. and Watling, J.R. and Asenov, A. and Barker, J.R. and Waite, A.M. and Evans, A.G.R. (2001)

More information

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 4, 2008, 383 395 A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs Andrei SEVCENCO,

More information

Gate Carrier Injection and NC-Non- Volatile Memories

Gate Carrier Injection and NC-Non- Volatile Memories Gate Carrier Injection and NC-Non- Volatile Memories Jean-Pierre Leburton Department of Electrical and Computer Engineering and Beckman Institute University of Illinois at Urbana-Champaign Urbana, IL 61801,

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS Operation and Modeling of The MOS Transistor Second Edition Yannis Tsividis Columbia University New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Chapter 1 l.l 1.2 1.3 1.4 1.5 1.6 1.7 Chapter 2 2.1 2.2

More information

Atomistic effect of delta doping layer in a 50 nm InP HEMT

Atomistic effect of delta doping layer in a 50 nm InP HEMT J Comput Electron (26) 5:131 135 DOI 1.7/s1825-6-8832-3 Atomistic effect of delta doping layer in a 5 nm InP HEMT N. Seoane A. J. García-Loureiro K. Kalna A. Asenov C Science + Business Media, LLC 26 Abstract

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

STOCHASTIC LOGIC Architectures for post-cmos switches

STOCHASTIC LOGIC Architectures for post-cmos switches STOCHASTIC LOGIC Architectures for post-cmos switches David S. Ricketts Electrical & Computer Carnegie Mellon University www.ece.cmu.edu/~ricketts Jehoshua (Shuki) Bruck Engineering Electrical Engineering

More information

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU A Universal Memory Model for Design Exploration Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU Universal Memory Modeling because there is no universal memory device! Modeling needs in

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

Analysis of flip flop design using nanoelectronic single electron transistor

Analysis of flip flop design using nanoelectronic single electron transistor Int. J. Nanoelectronics and Materials 10 (2017) 21-28 Analysis of flip flop design using nanoelectronic single electron transistor S.Rajasekaran*, G.Sundari Faculty of Electronics Engineering, Sathyabama

More information

Incremental Latin Hypercube Sampling

Incremental Latin Hypercube Sampling Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits Yen-Lung Chen +, Wei Wu *, Chien-Nan Jimmy Liu + and Lei He * EE Dept., National Central University,

More information

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ] DrainCurrent-Id in linearscale(a/um) Id in logscale Journal of Electron Devices, Vol. 18, 2013, pp. 1582-1586 JED [ISSN: 1682-3427 ] SUITABILITY OF HIGH-k GATE DIELECTRICS ON THE DEVICE PERFORMANCE AND

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Noise Modeling and Capacity Analysis for NAND Flash Memories

Noise Modeling and Capacity Analysis for NAND Flash Memories Noise Modeling and Capacity Analysis for NAND Flash Memories Qing Li, Anxiao (Andrew) Jiang, and Erich F. Haratsch Flash Components Division, LSI Corporation, San Jose, CA, 95131 Computer Sci. and Eng.

More information

!"#$%&'()*"+,-./*($-"#+"0+'*"12%3+ (#3+4"#&'*"12%3+5'6+6)17-$%1$/)%8*

!#$%&'()*+,-./*($-#+0+'*12%3+ (#3+4#&'*12%3+5'6+6)17-$%1$/)%8* Università di Pisa!"$%&'()*"+,-./*($-"+"0+'*"12%3+ (3+4"&'*"12%3+5'6+6)17-$%1$/)%8* $%&'()*% I(8,4-(J1&-%9(0&/1/&14(,9155K0&6%4J,L(%&1MN51--4%&(',)0&6%4J,-(',)O151'%J2&(',L(%&() P&(Q14=(-R9(:(=, +$%,-..'/*0*'%

More information

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor Bull. Mater. Sci., Vol. 39, No. 5, September 2016, pp. 1303 1309. DOI 10.1007/s12034-016-1277-9 c Indian Academy of Sciences. Understanding the effect of n-type and p-type doping in the channel of graphene

More information

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti

More information

Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield

Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield Xi Zhang Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report

More information

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Microelectronics Journal 34 (003) 855 863 www.elsevier.com/locate/mejo Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Shang-Ming Wang*, Ching-Yuan Wu Institute

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Keywords MOSFET, FinFET, Silicon, Germanium, InGaAs, Monte Carlo, Drift Diffusion.

Keywords MOSFET, FinFET, Silicon, Germanium, InGaAs, Monte Carlo, Drift Diffusion. Predicting Future Technology Performance Asen Asenov and Craig Alexander Gold Standard Simulations The Rankine Building, Oakfield Avenue Glasgow G12 8LT +44 ()141 33 479 a.asenov@goldstandardsimulations.com

More information

mobility reduction design rule series resistance lateral electrical field transversal electrical field

mobility reduction design rule series resistance lateral electrical field transversal electrical field Compact Modelling of Submicron CMOS D.B.M. Klaassen Philips Research Laboratories, Eindhoven, The Netherlands ABSTRACT The accuracy of present-day compact MOS models and relevant benchmark criteria are

More information

Bit Line Coupling Memory Tests for Single-Cell Fails in SRAMs

Bit Line Coupling Memory Tests for Single-Cell Fails in SRAMs Bit Line Coupling Memory Tests for Single-Cell Fails in SRAMs Sandra Irobi Zaid Al-Ars Said Hamdioui {i.s.irobi, z.al-ars, s.hamdioui}@tudelft.nl CE Laboratory, EEMCS faculty, Delft University of Technology,

More information

SILICON-ON-INSULATOR (SOI) technology has been

SILICON-ON-INSULATOR (SOI) technology has been 1122 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 5, MAY 1998 Monte Carlo Simulation of Electron Transport Properties in Extremely Thin SOI MOSFET s Francisco Gámiz, Member, IEEE, Juan A. López-Villanueva,

More information