Modeling of PMOS NBTI Effect Considering Temperature Variation

Size: px
Start display at page:

Download "Modeling of PMOS NBTI Effect Considering Temperature Variation"

Transcription

1 Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, , P.R. China {luohong99, wangyuu99, {luorong, Yuan Xie CSE Department, Pennsylvania State University, University Park, PA, USA Abstract Negative bias temperature instability (NBTI) has come to the forefront of critical reliability phenomena in advanced CMOS technology. In this paper, we propose a fast and accurate PMOS NBTI model, in which the temperature variation and the ratio of active to standby time are considered in both stress and relaxation phases. A PMOS V th degradation model and a digital circuits temporal performance degradation estimation method are developed based on our PMOS NBTI model. The simulation results show that: 1) our dynamic NBTI model without temperature variation is as accurate as previous models, the error is less than 2.3%; 2) the analysis error of PMOS V th degradation may reach up to 52.6% without considering temperature variation; 3) for ISCAS85 benchmark circuits, the error of worst case performance degradation analysis is about on average 52.0%; 4) the ratio of active to standby time has a considerable impact during the performance degradation analysis. 1 Introduction As semiconductor manufacturing migrates to more advanced technology nodes, negative bias temperature instability (NBTI) is becoming one of the major reliability concerns. NBTI significantly shifts the threshold voltage V th on the order of 20 50mV for devices operating at 1.2V or below [1]. Previous research showed that V th shifts due to NBTI is expressed as a power-law time dependence [2, 3], and this aging-induced parameter variation has a negative impact on circuit performance. After the aging time of 10 6 s arising from NBTI, the circuit performance degradation can reach up to 15% [4]. This work was supported by grants from 863 program of China (No. 2006AA01Z224), and NSFC (No , No ). Yuan Xie s work was supported in part by NSF , NSF CA- REER and MARCO/DRAPA-GSRC. The NBTI occurs when the PMOS transistor is negative biased, in particular at elevated temperature. This phenomenon can be classified as static NBTI and dynamic NBTI. Static NBTI (i.e. under constant voltage (DC) stress condition) leads to a severe V th shifts, while the mechanism was described in [5]. However, because of associated recovery phenomena the dynamic NBTI (i.e., under AC stress), a less severe parameters shifts over long time compared with that under DC stress condition were observed and described in [6 10]. Recently, NBTI models are developed to analysis the reliability and delay degradation problems in large-scale digital circuit [11 15]. Based on these circuit degradation models, NBTI-aware design techniques were investigated in [14, 15]. An analytical model for evaluating dynamic NBTI effect was proposed in [16], and the effect of various process and design parameters were described in [17]. In previous analytical NBTI models [16, 17], the circuit temperature was considered to be constant during the circuit operation. However, when the circuit switches between active and standby mode, the circuit temperature varies periodically between high temperature and low temperature. Therefore, we propose an analytical model for dynamic NBTI effect considering the temperature variation on account of the switch of circuit operation modes: active and standby mode. Our contribution in this paper distinguishes itself in the following aspects: We propose an analytical model to estimate PMOS NBTI effect under AC stress condition. Our model is faster than the model in [16], while remains the same accuracy. Furthermore, two factors, a) the temperature difference between circuit active and standby mode; b) the time ratio of circuit active and standby mode, are first considered in both stress and relaxation phases during our NBTI analysis. Based on our novel NBTI model, we propose a PMOS V th degradation model and study the impact of NBTI on the temporal performance degradation in combinational

2 circuits considering both the temperature variation and ratio of active to standby time. The rest of the paper is organized as follows. In Section 2, we first review previous NBTI models and present our improved analytical NBTI model. Then, in Section 3, we give out the V th degradation model, and the circuit delay estimation method. The simulation results of a single PMOS transistor and the ISCAS85 benchmark circuits are shown and analyzed in Section 4. Finally, Section 5 concludes the paper. 2 NBTI Model A shift in the threshold voltage ΔV th of the PMOS transistor is proportional to the interface trap generation due to NBTI, which can be expressed [15] as ΔV th =(1 + m) qn it(t) C ox (1) where m represents equivalent V th shifts due to mobility degradation, q is the electronic charge, C ox is the gate oxide capacitance, and N it (t) is the interface trap generation, which is the most important factor in evaluating performance degradation due to NBTI. 2.1 Previous NBTI Models The interface trap generation is often described by a reaction-diffusion (R-D) model [3], dn it = k f (N 0 N it ) N it C H (0,t) (2) dt dn it C H = D H dt x (3) x=0 C H t = D H 2 C H x 2 (4) where the mobile diffusing species are assumed to be neutral H atoms, and N 0 is the concentration of initial interface defects. The parameters k f and are constant dissociation rate and self-annealing rate, respectively. When the device is in recovery phase, k f becomes zero, and is unchanged. The parameter C H is the concentration of H atoms, and D H is the corresponding diffusion coefficient. In this model, the interface trap generation (ΔN it ) under DC stress condition is expressed as [3] kf N 0 N it (t)=1.16 (D H t) 1/4 = At 1/4. (5) Kumar et al. proposed an analytical NBTI model [16] to handle multi-cycle AC stress condition; and assuming the PMOS transistor is under AC stress with duty cycle of c and the period of τ, the interface trap generation after n + 1 cycles of AC stress can be evaluated by a recursion formula below, [ N it [(n + 1)τ]= βn ( ) ] it(nτ) 1 + β + N0 it Nit (nτ) 4 1/4 c β Nit 0 (6) and the initial condition is N it (τ)= c1/4 1 + β N0 it (7) where Nit 0 = Aτ1/4 1 c,andβ = Our improved dynamic NBTI Model without temperature variation Though the model proposed by Kumar [16] is accurate, the computing process may be slow because of the recursion process in Eq. (6). We use a different approach to derive the model under AC stress. If the period τ is small enough (i.e., at a frequency more than several KHz magnitude), the traps created at the stress and relaxation phases in one cycle can be expressed as ΔN it = k f (N 0 N it )(cτ) N it C H (0,t)(cτ) (8) ΔN it = N it C H (0,t)(1 c)τ (9) Thus, the above two equations can be averaged, giving [10] dn it = c k f (N 0 N it ) N it C H (0,t). (10) dt Contrasting with Eq. (2), the interface trap generation can be expressed as N it (t)=1.16c 0.5 kf N 0 (D H t) 1/4 (11) where c 0.5 describes the impact of AC stress on NBTI. In order to eliminate the error in Eq. (11), the exponent of c should be modified. We use a linear function to replace the exponent 0.5, and the function can be determined by fitting technology. Hence, the interface trap generation can be described as N it (t)=1.16 c c 0.23 kf N 0 (D H t) 1/4. (12) The comparison between Kumar s [16] and our model is shown in Figure 1. The multi-cycle NBTI model under AC stress condition proposed in this paper is as accurate as Kumar s model, the error is less than 2.3% ( cm 2 of our model, and cm 2 for Kumar s model in Figure 1). Since there is no recursion process in our model, our method is faster than Kumar s.

3 Interface traps ΔNit ( cm 2 ) DC stress (Kumar) AC, c=0.1 (Ours) AC, c=0.1 (Kumar) AC, c=0.4 (Ours) AC, c=0.4 (Kumar) AC, c=0.8 (Ours) AC, c= (Kumar) 3.70 (Ours) Operation time (s) Figure 1. Comparison between Kumar s and our model 2.3 NBTI analysis with temperature variation During circuit operations, the circuit switches between active and standby modes, so that the temperature changes relevantly. Previous NBTI models [16,17] only consider the situation that the temperature is a constant (which is around 400K). Actually the circuit temperature varies when the circuit switches between active and standby mode. Therefore the NBTI model should be modified to consider the temperature variation. Assuming the device operation temperature changes between T high and T low frequently, the interface trap generation due to NBTI is different from the case under a constant high operation temperature condition. First, we consider the impact of temperature variation in stress phases. The temperature variation has a significant impact on the diffusion coefficient of H atom (D H ) in Eq. (4). In this paper, a triangle diffusion profile [3] is used. The effect of H atom diffusion under T low lasting for a stress time of t low, equals to the effect under T high for a stress time of t high,if D high t high = D low t low (D high and D low denote diffusion coefficients under high and low temperature, respectively). Because the diffusion coefficient is proportional to exp( E a kt ), the ratio of D low to D high can be expressed as μ = D low D high = exp [ Ea kt high ( 1 T )] high T low (13) Therefore, the equivalent stress time can be expressed as t eq = t high + μt low (14) where the total stress time t can be divided into two parts: t high, the stress time at high temperature, and t low the stress time at low temperature. Figure 2 shows the numeric simulation result of this law. We take T high = 400K, T low = 340K as an example. The ratio μ is when E a is set to 0.48eV. Hence, the equivalent stress time t eq is Interface traps ΔNit ( cm 2 ) T low = 400K T low = 380K T low = 340K T high = 400K (1000, 2.34) (543, 1.70) (1000, 1.67) Stress time (s) Figure 2. Impact of temperature variation in stress phase Interface traps ΔNit ( cm 2 ) S:400K, R:400K S:400K, R:300K S:380K, R:380K S:380K, R:320K S:340K, R:340K S:340K, R:300K Operation time (s) Figure 3. Impact of temperature variation in relaxation phase 543s (Figure 2) when t high = t low = 500s. Figure 2 shows that the interface trap generation is cm 2, and the equivalent trap generatin at high temperature is cm 2, where the error is 1.8%. If the temperature is considered to be kept at high temperature constantly, the interface trap generation is cm 2, and the error is 40.1%. Second, a totally different phenomenon in relaxation phases is observed from numeric simulation. Under AC stress condition, if temperature variation only occurs in relaxation phases, the overall interface trap generation is not affected. This phenomenon is shown in Figure 3. Though the temperature variation indeed affects the trap generation in a single cycle, the overall trap generation remains the same as that at a constant temperature. 2.4 Our PMOS NBTI model during real circuit operations In previous section, we analyze the impact of temperature variation on the interface trap generation. In real circuits, the situation is much more complex. In this paper, we make an assumption that the circuit switches between

4 active and standby modes periodically, and the PMOS transistor in the circuit is under AC stress in active mode, while in standby mode, it is under constant DC stress or in relaxation. We denote the ratio of the active to standby time as R AS, the temperature of active mode is denoted as T act (corresponding to high temperature T high in previous section), and the temperature of standby mode as T stdby (corresponding to T low ). The interface trap generation is modeled as follows: a) If the PMOS transistor is in relaxation phase in standby mode, the interface trap generation is not affected by the temperature variation according to Section 2.3, which can be described as N it (t)=1.16 γ γ 0.23 kf N 0 (D act t) 1/4 γ = cr AS (15) R AS + 1 where D act is diffusion coefficient in active mode, and here c is duty cycle of stress in active mode. b) If the PMOS transistor is under DC stress in standby mode, the stress time in standby time should be transformed using Eq. (14). Therefore, the interface trap generation is described as N it (t)=1.16 γ γ 0.23 kf N 0 (D eff t) 1/4 where γ = cr ASD act + D stdby R AS D act + D stdby D eff = R ASD act + D stdby R AS + 1 μ = D stdby D act = cr AS + μ R AS + μ = R AS + μ R AS + 1 D act (16) [ ( Ea = exp 1 T )] act. (17) kt act T stdby 3 V th and circuit delay degradation model Previous section describes the analytical model of interface trap generation due to NBTI considering the temperature variation between active and standby mode in real circuits. In order to evaluate the temporal performance degradation due to NBTI, the threshold voltage degradation model and circuit delay model are described in the following subsections. 3.1 PMOS V th degradation model A predictive compact model for NBTI is developed in [17]. The dependence of NBTI on key process (such as L, V th,andt ox ) and design parameters (such as V dd ) are captured in this model. From Eq. (1), the model in [17], and our NBTI model Eq. (15)-(17) proposed in previous section, the degradation of threshold voltage is given by ΔV th = K V γ γ 0.23 λt 1/4 + δ V { cras R γ = AS +1, under relax in standby cr AS +μ R AS +μ, under stress in standby 1, under relax in standby λ = ( ) 1 RAS +μ 4 R AS +1, under stress in standby (18) where K V and δ V are the parameters extracted from [17], and μ is the ratio of D stdby to D act describing by Eq. (17). 3.2 Gate and circuit delay degradation analysis In this paper, the delay of a gate v can be approximately expressed as [15] d(v)= C LV dd K = I d (V gs V th ) α C L V dd K =. (19) μc ox W eff /L eff The shift in the transistor threshold voltage ΔV th can be derived using Eq. (18). Hence, the delay degradation Δd(v) for gate v can be derived as K Δd(v)= (V gs V th ΔV th ) α K (V gs V th ) α ( = (1 ΔV ) th ) α 1 d(v). V g V th (20) We use Taylor series expansion on the right side of Eq. (20), neglecting the higher order terms, giving that Δd(v)= αδv th V gs V th0 d(v) (21) where V th0 is the original transistor threshold voltage and d(v) is the original delay of gate v. 4 Simulation results In this section, we present the simulation results in order to validate the NBTI model. Firstly, a single PMOS transistor is used to evaluate the NBTI effect considering temperature variation. Secondly, ISCAS85 circuits are used as the benchmark. In this paper, the standard cell library is constructed using the PTM 90nm bulk CMOS model [18]. V dd = 1.0V, V th = 220mV are set for all the transistors in the circuits.

5 Vth degradation (mv) Var. temp. Const. temp. Temp. in standby = 340K R AS = 1: Operation time (s) Figure 4. NBTI effect under variable temperature vs. constant temperature Vth degradation (mv) R AS = 9:1 R AS = 3:1 R AS = 1:1 R AS = 1:3 R AS = 1:9 18 Time = s 16 Duty cycle in active = Temperature in standby (K) Figure 5. Impact of temperature in standby on V th degradation 4.1 Single PMOS device NBTI analysis The V th degradation of the PMOS transistor in the circuit is shown in Figure 4. The ratio of active to standby time is set to 1 : 9, and duty cycle in active mode is 0.5. We can see that after s (about 10 yr), the V th degradation is 17.3mV considering temperature variation. If the temperature is considered to be constant in the evaluation, the degradation can reach up to 26.4mV, and the error is 52.6%. The temperature in the standby mode and the ratio of active to standby time have considerable impact on the V th degradation, which is shown in Figure 5 and 6. Table 1 shows the threshold voltage degradation ΔV th with different ratios of active to standby time. From these figures and table, we can conclude that 1) a lower standby temperature leads to a smaller NBTI effect on the V th degradation; 2) if the ratio of active to standby time is smaller, which means a longer standby time during the circuit operation, the impact of the standby temperature variation on the V th degradation is larger. Vth degradation (mv) Duty cycle in active = 0.5 Time= s 18 T stdby = 400K T stdby = 380K 16 T stdby = 360K T stdby = 340K T stdby = 300K 14 9:1 3:1 1:1 1:3 1:9 Ratio of active to standby time R AS Figure 6. Impact of R AS on V th degradation Table 1. ΔV th under different R AS (mv) R AS 9:1 3:1 1:1 1:3 1:9 T stdby = 400K T stdby = 340K Performance degradation analysis in digital circuits Fig. 7 shows the performance degradation of ISCAS85 c432 benchmark with different ratios of active to standby time. The circuit delay degradation is much less than the V th degradation under a same standby mode temperature. And the standby mode temperature difference leads to considerable circuit delay difference. Table 2 shows the result of the circuit delay degradation analysis. In order to investigate the worst case circuit degradation (denoted as Max. Δdelay in Table 2), we set the internal inputs of all the PMOS transistors to logic 0 during the Vth and circuit delay degradation (%) :1 Duty cycle in active = 0.5 Time= s Δdelay, T stdby = 340K ΔV th, T stdby = 400K ΔV th, T stdby = 340K Δdelay, T stdby = 400K 3:1 1:1 1:3 Ratio of active to standby time R AS Figure 7. Impact of R AS on V th and circuit delay degradation 1:9

6 Table 2. Delay degradation of ISCAS95 benchmark circuits under NBTI ISCAS85 Nominal (400K) Max. Max. Min. Circuits delay (ns) Δdelay (%) Δdelay Δdelay c c c c c c c c c c Average N/A standby mode. The inputs are set to logic 1 to investigate the best case circuit degradation (denoted as Min. Δdelay in Table 2). The ratio of active to standby time is set to 1:9.TheMax.Δdelay is around 5.69% of the original circuit delay when T stdby = 340K, and Min. Δdelay is around 4.65%. If the temperature variation is not considered, the Max. Δdelay is around 8.65% (corresponding to the third column in Table 2), which leads to a error of 52.0%. 5 Conclusion In this paper, we propose an analytical model of temporal performance degradation due to PMOS NBTI effect under AC stress condition. The model is as accurate as previous models, while the speed is faster. We demonstrate that the temperature variation due to the change of circuit operation mode (active and standby mode) can have significant impact on PMOS NBTI effect: if the temperature variation is not considered, for single PMOS transistor analysis, 52.6% error can be reached, while for ISCAS85 circuits analysis, the error can be up to 52.0%. Therefore, we propose an analytical model that considers the temperature variation, such that a more accurate performance degradation estimation can be performed. We also study the impact of the ratio of active to standby time on PMOS and circuit performance degradation due to NBTI. References [1] L. Peters, NBTI: A Growing Threat to Device Reliability, Semiconductor International, vol. 27, no. 3, Mar [2] V.Huard,M.Denais,andC.Parthasarathy, NBTI degradation: From physical mechanisms to modelling, Microelectron. Reliab., vol. 46, no. 1, pp. 1 23, [3] J. Stathis and S. Zafar, The negative bias temperature instability in MOS devices: A review, Microelectron. Reliab., vol. 46, no. 2-4, pp , [4] K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. Nassif, E. J. Nowak, D. J. Pearson, and N. J. Rohrer, High-performance CMOS variability in the 65-nm regime and beyond, IBMJ.Res.&Dev., vol. 50, no. 4/5, pp , [5] S. Ogawa and N. Shiono, Generalized diffusion-reaction model for the low-field charge-buildup instability at the Si- SiO 2 interface, Physical Review B, vol. 51, no. 7, pp , [6] S. Mahapatra, P. Bharath Kumar, T. Dalei, D. Sana, and M. Alam, Mechanism of negative bias temperature instability in CMOS devices: degradation, recovery and impact of nitrogen, in IEDM Tech. Dig., 2004, pp [7] M. Alam and S. Mahapatra, A comprehensive model of PMOS NBTI degradation, Microelectron. Reliab., vol. 45, no. 1, pp , [8] R. Wittmann, H. Puchner, L. Hinh, H. Ceric, A. Gehring, and S. Selberherr, Impact of NBTI-driven parameter degradation on lifetime of a 90nm p-mosfet, in Proc. Intl. Integrated Reliab. Workshop Final Report, 2005, pp [9] S. Mahapatra, D. Saha, D. Varghese, and P. Kumar, On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress, IEEE Trans. Electron Device, vol. 53, no. 7, pp , [10] S. Zhu, A. Nakajima, T. Ohashi, and H. Miyake, Mechanism of dynamic bias temperature instability in p- and nmosfets: The effect of pulse waveform, IEEE Trans. Electron Device, vol. 53, no. 8, pp , [11] V. Reddy, A. Krishnan, A. Marshall, J. Rodriguez, S. Natarajan, T. Rost, and S. Krishnan, Impact of negative bias temperature instability on digital circuit reliability, in Proc. IEEE IRPS, 2002, pp [12] V. Reddy, A. T. Krishnan, A. Marshall, J. Rodriguez, S. Natarajan, T. Rost, and S. Krishnan, Impact of negative bias temperature instability on digital circuit reliability, Microelectron. Reliab., vol. 45, no. 1, pp , [13] B. Paul, K. Kang, H. Kufluoglu, M. Alam, and K. Roy, Impact of NBTI on the temporal performance degradation of digital circuits, IEEE Electron Device Lett., vol. 26, no. 8, pp , [14] S. Kumar, C. Kim, and S. Sapatnekar, Impact of NBTI on SRAM Read Stability and Design for Reliability, in Proc. ISQED, 2006, pp [15] B. Paul, K. Kang, H. Kufluoglu, M. Alam, and K. Roy, Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits, in Proc. DATE, vol. 1, 2006, pp [16] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, An Analytical Model for Negative Bias Temperature Instability, in Proc. IEEE/ACM ICCAD, [17] R. Vattikonda, W. Wang, and Y. Cao, Modeling and Minimization of PMOS NBTI Effect for Robust Nanometer Design, in Proc. DAC, 2006, pp [18] Nanoscale Integration and Modeling (NIMO) Group, ASU, Predictive Technology Model (PTM). [Online]. Available: ptm/

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

10.0 Reaction Diffusion Model: Review

10.0 Reaction Diffusion Model: Review EE65R: Reliability Physics of anoelectronic Devices Lecture 1: egative Bias Temperature Instability: AC Degradation Date: Oct 6 Prepared by: Dhanoop Varghese Reviewed by: Ahmad Ehtesham Islam 1. Reaction

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

Characterization of NBTI induced Temporal Performance Degradation in Nano-Scale SRAM array using I DDQ

Characterization of NBTI induced Temporal Performance Degradation in Nano-Scale SRAM array using I DDQ Characterization of NBTI induced Temporal Performance Degradation in Nano-Scale SRAM array using I DDQ Kunhyuk Kang, Muhammad Ashraful Alam, and Kaushik Roy Purdue University, West Lafayette, Indiana,

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Aging Benefits in Nanometer CMOS Designs

Aging Benefits in Nanometer CMOS Designs Aging Benefits in Nanometer CMOS Designs Daniele Rossi, Member, IEEE, Vasileios Tenentes, Member, IEEE, Sheng Yang, Saqib Khursheed, Bashir M. Al-Hashimi Fellow, IEEE Abstract In this paper, we show that

More information

A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations

A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations Jifeng Chen, and Mohammad Tehranipoor University of Connecticut, Storrs, CT 6269, USA {jifeng.chen, tehrani}@engr.uconn.edu

More information

Efficient Selection and Analysis of Critical-Reliability Paths and Gates

Efficient Selection and Analysis of Critical-Reliability Paths and Gates Efficient Selection and Analysis of Critical-Reliability Paths and Gates Jifeng Chen, Shuo Wang, and Mohammad Tehranipoor University of Connecticut, Storrs, CT 0669, USA {jic0900,shuo.wang,tehrani}@engr.uconn.edu

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

Categories and Subject Descriptors: J.6 [Computer Aided Engineering]: Computer aided design (CAD), B.6.3 [Design Aids]: Optimization.

Categories and Subject Descriptors: J.6 [Computer Aided Engineering]: Computer aided design (CAD), B.6.3 [Design Aids]: Optimization. Variation-Aware Supply Voltage Assignment for Minimizing Circuit Degradation and Leakage Xiaoming Chen, Yu Wang *, Yu Cao 3, Yuchun Ma, Huazhong Yang Dept. of E.E., TNList, Tsinghua Univ., Beijing, China

More information

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser Recent Developments in Device Reliability Modeling: The Bias Temperature Instability Tibor Grasser Institute for Microelectronics, TU Vienna Gußhausstraße 27 29, A-14 Wien, Austria TU Wien, Vienna, Austria

More information

Modeling and PSPICE Simulation of NBTI Effects in VDMOS Transistors

Modeling and PSPICE Simulation of NBTI Effects in VDMOS Transistors SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 12, No. 1, February 2015, 69-79 UDC: 621.382.3:621.375.4 DOI: 10.2298/SJEE1501069M Modeling and PSPICE Simulation of NBTI Effects in VDMOS Transistors Miloš

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Simulating Negative Bias Temperature Instability of p-mosfets

Simulating Negative Bias Temperature Instability of p-mosfets Simulating Negative Bias Temperature Instability of p-mosfets Introduction The degradation of MOSFET devices having relatively thin oxide layers is generally accepted as being mainly associated with the

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty ECE Department The University of Texas at Austin Austin, TX 78703, USA ashutosh@cerc.utexas.edu David Z. Pan ECE Department The University

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories

BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories Daniele Rossi, Vasileios Tenentes, Saqib Khursheed, Bashir M. Al-Hashimi ECS, University of Southampton, UK. Email: {D.Rossi,

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Choice of V t and Gate Doping Type

Choice of V t and Gate Doping Type Choice of V t and Gate Doping Type To make circuit design easier, it is routine to set V t at a small positive value, e.g., 0.4 V, so that, at V g = 0, the transistor does not have an inversion layer and

More information

Statistical Reliability Analysis Under Process Variation and Aging Effects

Statistical Reliability Analysis Under Process Variation and Aging Effects Statistical Reliability Analysis Under Process Variation and Aging Effects Yinghai Lu 1, Li Shang, Hai Zhou 1,3, Hengliang Zhu 1, Fan Yang 1, Xuan Zeng 1 1 State Key Lab of ASIC & System, Microelectronics

More information

A Unified Aging Model of NBTI and HCI Degradation towards Lifetime Reliability Management for Nanoscale MOSFET Circuits

A Unified Aging Model of NBTI and HCI Degradation towards Lifetime Reliability Management for Nanoscale MOSFET Circuits A Unified Aging Model of NBTI and HCI Degradation towards Lifetime Reliability Management for Nanoscale MOSFET Circuits Yao Wang, Sorin Cotofana Computer Engineering Laboratory, EEMCS Delft University

More information

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA MOS Transistors Prof. Krishna Saraswat Department of Electrical Engineering S Stanford, CA 94305 saraswat@stanford.edu 1 1930: Patent on the Field-Effect Transistor! Julius Lilienfeld filed a patent describing

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Alex Guo and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Cambridge, MA, USA Sponsor:

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 49 (2009) 642 649 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Impacts of NBTI and PBTI on SRAM

More information

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet S. F. Wan Muhamad Hatta a, H. Hussin *a, b, F. Y. Soon a, Y. Abdul Wahab a, D. Abdul Hadi a, N. Soin

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors

Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors Turk J Elec Engin, VOL.14, NO.3 2006, c TÜBİTAK Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors Fırat KAÇAR 1,AytenKUNTMAN 1, Hakan KUNTMAN 2 1 Electrical and

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects Souvik Mahapatra, Nilesh Goel, Ankush Chaudhary, Kaustubh Joshi and Subhadeep Mukhopadhyay Abstract In this

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #3: CMOS Inverters MOS Scaling Rajeevan Amirtharajah University of California, Davis Jeff Parhurst Intel Corporation Outline Review: Inverter Transfer Characteristics Lecture 3: Noise Margins,

More information

EE 330 Lecture 16. Devices in Semiconductor Processes. MOS Transistors

EE 330 Lecture 16. Devices in Semiconductor Processes. MOS Transistors EE 330 Lecture 16 Devices in Semiconductor Processes MOS Transistors Review from Last Time Model Summary I D I V DS V S I B V BS = 0 0 VS VT W VDS ID = μcox VS VT VDS VS V VDS VS VT L T < W μc ( V V )

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations

An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations Jinbo Wan and Hans G. Kerkhoff Testable Design and Testing of Integrated Systems Group, CTIT, University of Twente

More information

918 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 6, JUNE 2013

918 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 6, JUNE 2013 918 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 6, JUNE 2013 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty, Member, IEEE, and David

More information

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Semiconductor Devices C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Global leader in environmental and industrial measurement Wednesday 3.2. afternoon Tour around facilities & lecture

More information

EE 560 MOS TRANSISTOR THEORY

EE 560 MOS TRANSISTOR THEORY 1 EE 560 MOS TRANSISTOR THEORY PART 1 TWO TERMINAL MOS STRUCTURE V G (GATE VOLTAGE) 2 GATE OXIDE SiO 2 SUBSTRATE p-type doped Si (N A = 10 15 to 10 16 cm -3 ) t ox V B (SUBSTRATE VOLTAGE) EQUILIBRIUM:

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Long Channel MOS Transistors

Long Channel MOS Transistors Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended to Metal-Oxide-Semiconductor Field-Effect transistors (MOSFET) by considering the following structure:

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Outline 1. Introduction to MOS structure 2. Electrostatics of MOS in thermal equilibrium 3. Electrostatics of MOS with

More information

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies

Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies 1 Timing Simulation of 45 nm Technology and Analysis of Gate Tunneling Currents in 90, 65, 45, and 32 nm Technologies Steven P. Surgnier Abstract A timing simulation is presented for a MOSFET implemented

More information

COTS BTS Testing and Improved Reliability Test Methods

COTS BTS Testing and Improved Reliability Test Methods 2015 August 2015 SiC MOS Program Review COTS BTS Testing and Improved Reliability Test Methods Aivars Lelis, Ron Green, Dan Habersat, and Mooro El Outline Lelis (and Green) : COTS BTS results Standard

More information

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets S. Deora 1,3, A. Paul 2, R. Bijesh 1, J. Huang 3, G. Klimeck 2, G. Bersuker 3, P. D. Krisch 3 and R. Jammy 3. 1 Department of Electrical

More information

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES 598 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 16, NO 5, MAY 2008 design can be easily expanded to a hierarchical 64-bit adder such that the result will be attained in four cycles

More information

Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization

Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization Yu Wang, Yongpan Liu, Rong Luo, and Huazhong Yang Department of Electronics Engineering, Tsinghua University,

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

EE 434 Lecture 33. Logic Design

EE 434 Lecture 33. Logic Design EE 434 Lecture 33 Logic Design Review from last time: Ask the inverter how it will interpret logic levels V IN V OUT V H =? V L =? V LARGE V H V L V H Review from last time: The two-inverter loop X Y X

More information

Scalable Methods for the Analysis and Optimization of Gate Oxide Breakdown

Scalable Methods for the Analysis and Optimization of Gate Oxide Breakdown Scalable Methods for the Analysis and Optimization of Gate Oxide Breakdown Jianxin Fang, Sachin S. Sapatnekar Department of ECE, University of Minnesota {fang6,sachin}@umn.edu Abstract In this paper we

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Chapter 2 Degradation Mechanisms

Chapter 2 Degradation Mechanisms Chapter 2 Degradation Mechanisms 2.1 Introduction In this chapter, a general description of the main MOSFET degradation mechanisms considered in this work is given. The proposed dissertation, while not

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Zhangcai Huang, Hong Yu, Atsushi Kurokawa and Yasuaki Inoue Graduate School of Information, Production and Systems, Waseda University,

More information

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU SPICE odeling of STT-RA for Resilient Design Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU OUTLINE - 2 - Heterogeneous emory Design A Promising Candidate:

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 Introduction So far, we have treated transistors

More information

Long-channel MOSFET IV Corrections

Long-channel MOSFET IV Corrections Long-channel MOSFET IV orrections Three MITs of the Day The body ect and its influence on long-channel V th. Long-channel subthreshold conduction and control (subthreshold slope S) Scattering components

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2016 Final Friday, May 6 5 Problems with point weightings shown.

More information

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC Author Haasmann, Daniel, Dimitrijev, Sima Published 2013 Journal Title Applied Physics

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

EE105 - Fall 2006 Microelectronic Devices and Circuits

EE105 - Fall 2006 Microelectronic Devices and Circuits EE105 - Fall 2006 Microelectronic Devices and Circuits Prof. Jan M. Rabaey (jan@eecs) Lecture 7: MOS Transistor Some Administrative Issues Lab 2 this week Hw 2 due on We Hw 3 will be posted same day MIDTERM

More information

An Overview of Spin-based Integrated Circuits

An Overview of Spin-based Integrated Circuits ASP-DAC 2014 An Overview of Spin-based Integrated Circuits Wang Kang, Weisheng Zhao, Zhaohao Wang, Jacques-Olivier Klein, Yue Zhang, Djaafar Chabi, Youguang Zhang, Dafiné Ravelosona, and Claude Chappert

More information

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Microelectronics Journal 34 (003) 855 863 www.elsevier.com/locate/mejo Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Shang-Ming Wang*, Ching-Yuan Wu Institute

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information

NEGATIVE bias temperature instability (NBTI) or the

NEGATIVE bias temperature instability (NBTI) or the IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 1, MARCH 2008 47 Effect of the Interfacial SiO 2 Layer in High-k HfO 2 Gate Stacks on NBTI Arnost Neugroschel, Fellow, IEEE, Gennadi Bersuker,

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 017 Final Wednesday, May 3 4 Problems with point weightings shown.

More information

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow

EE 330 Lecture 16. MOSFET Modeling CMOS Process Flow EE 330 Lecture 16 MOSFET Modeling CMOS Process Flow Model Extensions 300 Id 250 200 150 100 50 300 0 0 1 2 3 4 5 Vds Existing Model 250 200 Id 150 100 50 Slope is not 0 0 0 1 2 3 4 Actual Device Vds Model

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

Improved V T Drift Characterization in Production Environments

Improved V T Drift Characterization in Production Environments Improved V T Drift Characterization in Production Environments Daniel B. Habersat Aivars Lelis, Ronald Green Threshold Drift, ΔV T [V] Drain Current, I D [arb] Challenges of V T Drift Assessment Objective:

More information

Essential Aspects of Negative Bias Temperature Instability (NBTI) Indiana USA. (Invited Paper)

Essential Aspects of Negative Bias Temperature Instability (NBTI) Indiana USA. (Invited Paper) Essential Aspects of Negative Bias Temperature Instability (NBTI) Ahmad Ehteshamul Islam a, Souvik Mahapatra b, Shweta Deora b, Vrajesh D. Maheta b, and Muhammad Ashraful Alam c a Department of Materials

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

Impact of RDF and RTS on the performance of SRAM cells

Impact of RDF and RTS on the performance of SRAM cells J Comput Electron (2010) 9: 122 127 DOI 10.1007/s10825-010-0340-9 Impact of RDF and RTS on the performance of SRAM cells Vinícius V.A. Camargo Nabil Ashraf Lucas Brusamarello Dragica Vasileska Gilson Wirth

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Zuhui Chen, Xing Zhou, Guan Huei See, Zhaomin Zhu, and Guojun Zhu

Zuhui Chen, Xing Zhou, Guan Huei See, Zhaomin Zhu, and Guojun Zhu Zuhui Chen, Xing Zhou, Guan Huei See, Zhaomin Zhu, and Guojun Zhu School of EEE, Nanyang Technological University, Singapore Slide No.1/18 Outline Motivations. Theory of interface traps. Theory of unified

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Modeling and Characterization of Dielectric-Charging Effects in RF MEMS Capacitive Switches

Modeling and Characterization of Dielectric-Charging Effects in RF MEMS Capacitive Switches Modeling and Characterization of Dielectric-Charging Effects in RF MEMS Capacitive Switches Xiaobin Yuan, Zhen Peng, and ames C. M. Hwang Lehigh University, Bethlehem, PA 1815 David Forehand, and Charles

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 10 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits Exam No. 2 Thursday, November 5, 2009 7:30 to

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Reliability of MOS Devices and Circuits

Reliability of MOS Devices and Circuits Reliability of MOS Devices and Circuits Gilson Wirth UFRGS - Porto Alegre, Brazil MOS-AK Workshop Berkeley, CA, December 2014 Variability in Nano-Scale Technologies Electrical Behavior / Parameter Variation

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

Extensive reading materials on reserve, including

Extensive reading materials on reserve, including Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information