SRAM supply voltage scaling: a reliability perspective

Size: px
Start display at page:

Download "SRAM supply voltage scaling: a reliability perspective"

Transcription

1 SRAM supply voltage scaling: a reliability perspective Abstract SRAM leakage power is a significant fraction of the total power consumption on a chip. Traditional SRAM supply voltage scaling reduces the leakage power, but it increases stored-data failure rate (e.g., due to soft-errors). Accordingly, this work studies SRAM leakage power reduction with a data-reliability constraint ensured by system-level design techniques, like error-correction, supply voltage reduction, and data-refresh (scrubbing). A statistical or probabilistic setup is used to model failure mechanisms like soft-errors or process-variations, and error-probability is used as a metric for data-failure rate. Error models which combine various SRAM cell failure mechanisms are developed. Using these error-models, system level optimization of leakage power constrained by a constant data error-probability requirement is studied. Circuit-level simulation results and leakage power reduction estimates for the CMOS 90nm technology are presented. 1 Introduction With technology scaling, the SRAM size on a chip, and the SRAM leakage power contribution to the total power increases. For low duty-cycle applications, like sensors, the SRAM leakage power dominates the total power consumption [1]. The popular supply-voltage reduction technique can reduce the leakage power. However, supply-voltage reduction increases the failure-rate of stored data. Stored SRAM-cell data faces the following failure mechanisms: (i) soft-errors due to cosmic particles or alpha particles from die-packaging, (ii) parametric failures like read-upset, access-time failure, etc. due to process-variations, (iii) supply noise induced failures, (iv) gate-leakage fluctuations due to trapped charge in gate oxide, and (v) any permanent defects. With the exception of (v), other failure mechanisms increase with supply voltage reduction [2, 3, 4]. Thus, any voltage-scaling based leakage power reduction is achieved at the cost of lower data-reliability. Past works have addressed these data-reliability issues in isolation [2, 3, 4, 5, 6]. Making larger SRAM cells is an obvious solution to increase reliability since it increases resistance to soft-errors and combats parametric failures. However, it increases leakage power and SRAM area. Using a system-design approach, SRAM leakage power reduction at a constant reliability set by a high supplyvoltage is studied. System level techniques consisting of error-correction, supply voltage reduction, and periodic data-refresh (or scrubbing [7]) are studied in a leakage power optimization framework with constant datareliability. Failures are modeled in a probabilistic setup. The focus is on system level optimization without changing circuit parameters like V T,L, or W. Thus, SRAM cell s design and area will be unaffected. The accomplished goals are as follows: Error models which combine various SRAM cell failure mechanisms are developed, while accounting for spatially fixed or random nature of the errors. The supply voltage dependencies of failure mechanisms, a key ingredient in optimization, are estimated by circuitlevel Monte-Carlo simulations and macro-models. An error-probability constrained optimization framework is developed, which accepts SRAM cell parameters as input and optimizes leakage power over supply voltage and refresh-time while accounting for datarefresh and error-correction overhead. Remarks: (i) Only bounded-distance decoding based block codes are considered. Thus, LDPC, turbo, or convolutional codes are not considered [8]. (ii) Multiple-bit failures have been reported in sub-90nm SRAMs (e.g., [4]). Dependencies of these failures are not known. Address permutation schemes can interleave SRAM data with negligible energy overhead and make the failures statistically independent. For simplicity, such address interleaving is assumed. Notation: Supply voltage is denoted by v and 1.0V is high supply voltage. Probability is denoted by p and r is used for probability rate. Data-lifetime and refresh time are denoted by t 0 and t r, respectively. Average leakage power is denoted by P l and E is used for energy. E and P are statistical expectation and probability, respectively. And, [n,k,d] represents error-correction code (ECC) parameters [8]. Organization: In Section 2, the optimization framework and the failure probability combination models are devel- 1

2 oped. In Section 3, error-probability calculations are illustrated using circuit-level Monte-Carlo simulations and macro-models. In Section 4, leakage power optimization results are presented. Section 5 concludes the paper. 2 Leakage power optimization framework As envisioned, the optimization problem has a leakage power per bit (power per bit) cost-function which will be optimized over the choices of refresh time t r, ECC, and supply voltage v. The optimization constraint is that the error probability of any decoded SRAM block should be equal to the decoding error associated with [31, 26, 3] Hamming code based SRAM block at a supply-voltage of v = 1.0V. 1 A SEC-DED code is chosen for target error probability since it is used in contemporary SRAM. The optimization framework has the following ingredients: (i) supply voltage v, (ii) SRAM cell leakage power (P l (v)), (iii) SRAM cell soft-error rate (r s (v)), (iv) spatial parametric failure rate (p p f (v)), (v) supply noise induced error rate (r n (v)), (vi) oxide trap-charge assisted erratic rate (r e f (v)), (vii) the data-lifetime parameter t 0, (viii) SRAM cell parameters such as read and write energy (E r and E w, respectively), and (ix) ECC parameters such as block length, information bits, minimum distance, and encoding and decoding energy. These parameters, except (ix), are expected as an input by the optimization program. Some ECC families will be used as a variable in optimization. A schematic diagram of the framework is shown in Figure 1. Figure 1. The optimization program accepts error probabilities and error rates of error-mechanisms, data lifetime, and memory parameters as input. The optimizer predicts the minimum leakage power achievable within specified ECC families. These inputs will be estimated or simulated for the 90nm CMOS technology (courtesy: ST Microelectronics) and used to exemplify optimization framework results (output). The supply voltage is discretized to the set {0.3V,0.4V,...1.0V} and the optimizer computes power per bit on this set of supply voltage. At 0.2V, the selected 1 All Hamming codes fall into the category of single-error correcting double-error detecting (SEC-DED) codes. SRAM cell was not writeable. 2 Failure rates for various error-mechanisms at these discrete supply voltages will be estimated (see Section 3). The read-write energy for SRAM cell, and the ECC encoding and decoding energy will be estimated by their values at a supply voltage of 1.0V for simplicity. These estimates will be pessimistic since these energies are expected to reduce with supply voltage. However, this approach saves simulation effort, and it does not changes the power optimization results. The probabilistic aspects of the optimization framework will be discussed next. Union bound will be used to upper bound the probability of failure event. For any two sets A and B the union bound states that, P(A B) P(A) + P(B). Let p p f (v) be the net parametric failure probability. Let p h (v), p w (v), p r (v), p at (v), and p wt (v) be the probabilities of hold-failure, write-failure, read-upset, access-time failure, and write-time failure [3, 5]. By union-bound, p p f (v) p h (v) + p w (v) + p r (v) + p at (v) + p wt (v). (1) Using this bound, dependencies between parametric-failure mechanisms are not needed. Recall that r s (v), r n (v), and r e f (v) are the rates of soft-error, supply noise induced errors, and erratic fluctuation induced errors. Let t be any time period of interest. Then, the error-probability due to these mechanisms is upper-bounded by, p e (v) t[r n (v) + r e f (v) + r s (v)], if p e (v) 1. (2) Observe that for p e (v) 1, this error probability increases with time-period t. Error check and refresh (scrubbing) at periodic rate mitigates this error mechanism. Next, the differentiation between errors and erasures will be introduced. An error is a flipped bit, while an erasure is a bit that is known to be defective. An erasure is similar to a don t care ( ). The differentiation is important since an erasure is easier to decode compared to an error. In simple terms, no information (erasure) is better than wrong information (flipped bit). Consider the simplest repetition coding (TMR) for a single bit. The codewords to be stored corresponding to bits 0 and 1 are (000) and (111), respectively. On using majority rule, two bit flips (errors) lead to an incorrect decision. But correct decision can be made if two bits are in erasure. Decoding errors and erasures together was studied by Forney as generalized decoding [9]. Some ECC families (e.g., BCH codes) jointly decode errors and erasures (generalized decoding). With generalized decoding, if an error-correction code has minimum Hamming distance d, then x-errors and y-erasures can be corrected if, 2x + y < d. (3) 2 Supply-voltage quantization is flexible in the optimization program. Only for results presented in Section 4, this particular discrete set is chosen. 2

3 Thus, the repetition code can correct up to two erasures or one error. Loosely speaking, two erasures and one error contribute equally to decoding error. This distinction is useful since parametric failures happen at fixed locations (on the scale of decoding time) and noise-induced errors happen in random location. While decoding, the location of parametric failures can be learned by writing and reading test patterns in SRAM cells. Note that this advantage in error-resilience comes at the cost of small decoding overhead. The erasure probability p x is given by, p x (v) = p p f (v). (4) The error probability in generalized decoding depends on the pair (p e, p x ) and it is computed for any [n,k,d] ECC using (2), (3), and (4). On the other hand, if all bit flips are treated as errors, then the error-probability in this specialized decoding depends on (p e + p x ), and the condition for correct decoding is, 2(x + y) < d. (5) The error probability for specialized decoding is simply the probability that d 2 or more bits out of n bits flip, with each flip having a probability (p e + p x ). This distinction between generalized and specialized decoding will be used to compare power per bit reduction in Section 4. Let [n,k,d] be ECC parameters. The number of redundant parity bits are (n k). The power per bit cost function, including the data-refresh overhead, is given by, P b (v) = n k P l(v) + n(e r + E w ) kt r + E ECC t r. (6) The data-refresh overhead becomes negligible when t r and t 0 are large. This is reasonable since leakage power is significant only when data-lifetime is large. For the 90nm standard-v T technology, t 0 > 1sec has negligible refresh power overhead for low complexity codes like SEC-DED. This t 0 = 1sec number will be used in future sections. Finally, the optimization constraint is set by decoding error probability for a [31, 26, 3]-Hamming coded SRAM cell block at a supply of v = 1.0V. The error-probability estimation for various error mechanisms is discussed next. 3 SRAM cell error-probability estimation Estimation methods and models for various failure mechanisms will be discussed in this section. Typical FIT rate for an SRAM cell at v = 1.0V is of the order of 0.001/cell. This error-probability rate is for 10 9 hours, which equals to an error-probability rate of per second. This error-probability rate is extremely low and expensive to measure by experiments. Therefore, a modeling approach will be used to estimate the error-probability rate of SRAM cell at different voltages. These error-probability rates are also affected by process-variations. This phenomenon is modeled using circuit level Monte-Carlo simulations. These modeling methods are not absolute, and there are better ways (e.g., experimental) to estimate error-probability rates. But, the optimizer s is separate from the inputs. Since these errorprobability rates are inputs, therefore any superior estimates can always be used to calculate optimized power per bit. 3.1 Soft-error rate estimation SRAM cells retain data as charge at storage node and radioactive particles act as a noise mechanism that affects this stored charge causing errors. For lower supply voltages the stored charge decreases, making it easier for radioactive particles to flip the stored bit. Thus, soft-error rate increases with reduction in supply-voltage v (see [10], for example). Soft-error rate estimation uses the circuit shown in Figure 2. 3 The feedback inverter pair represents an SRAM cell without the access transistors. L and R are pneumonic for left and right. The inverters L and R hold the stored bit when access-transistors are off. The noise current i(t) is induced by the radioactive particle. The noise-current i(t) is modeled by the following two-parameter waveform, i(t) i(t,q,τ) = 2q t ( τ π τ exp t ), (7) τ where q represents the total charge and τ is a timeparameter. For the CMOS 90nm technology, τ = 90ps has been estimated [10]. The charge q = t i(t)dt characterizes the magnitude of noise. For any v and i(t) as in (7), there is a charge threshold q c (v), called as the critical charge, at which the stored bit in SRAM flips [11]. Then the soft-error Figure 2. The circuit for critical-charge estimation is illustrated. An analytic noise current source i(t) models the effect of radioactive particle. rate is given by [10], r s (v) = K s exp( α s q c (v)), (8) where K s and α s are constants independent of v. 3 Noise-current i(t) at other nodes, e.g., access-transistor gate, can also cause bit-flip. However, the fraction of such upsets is negligible. 3

4 With process-variations, SRAM cells will have a critical charge distribution. Let Q c (v) be the random critical charge for an SRAM cell. The expected soft-error rate is given by, r s (v) = K s E[exp( α s Q c (v))], (9) For refresh-time t r, and if t r r s (v) 1 the soft-error probability is given by, 4 p s (v) = t r K s E[exp( α s Q c (v))], (10) Using Monte-Carlo simulations, this equation will be used to determine the soft-error probability for the optimization. 3.2 Parametric failure probability models Parametric failures consist of read-upset, write-failure, hold-failure, write-time failure, and access-time failure. Of these read-upset, write-failure, and hold-failure can be estimated using voltage transfer characteristics (VTC) and noise margin. And access-time failure and write-time failure can be made arbitrarily small by having a large enough time of reading and writing, respectively [3, 5]. For brevity, only read-upset probability estimation and write-time calculation will be illustrated. Other failure probabilities can be estimated similarly and the reader can refer to the literature. For read-upset probability estimation, read noise margin (RNM) is needed, and for RNM calculations appropriate VTCs are needed. The circuits of Figure 3(a) are used to compute two VTCs for RNM calculation. The decoupled L and R inverters of SRAM cell are biased as during the read operation. In the first circuit of Figure 3(a), V L is swept from 0 to v and V R is tabulated. Similarly, using the second circuit of Figure 3(a), V R is swept and V L is tabulated. These tabulated functions form the butterfly-graph as shown in Figure 3(b). The RNM is defined as the side of smaller square among S 1 and S 2. Thus, RNM is rnm(v) = min(s 1,s 2 ), where s i is the side of square S i, i = 1,2. The RNM will be a random variable due to process variations. Let RNM(v) be the random RNM of an SRAM cell. A negative rnm(v) or the absence of butterfly-structure in Figure 3(b) signifies a read-upset. Thus, p r (v) is given by, p r (v) = P[RNM(v) 0]. (11) It has been shown that empirical RNM(v) exhibits a Gaussian distribution for large number of trials [5]. Thus, RNM(v) N (µ r (v),σr 2 (v)) and estimation of µ r (v) and σ r (v), for various v, is sufficient to compute p r (v) in (11). Calculation procedures for hold and write failure probabilities are similar, except that the write noise margin exhibits one-sided distribution in Monte-Carlo circuit simulations. Write-time calculation is presented next, and it can be analogously extended to access-time calculation. While 4 In this work, t r r s 1 is satisfied for t r < sec. Figure 3. (a) The circuits used to derive VTCs used for RNM calculations are shown. (b) The butterfly curve derived from VTCs in Figure 3(a) is illustrated. writing a bit, the bit lines are pre-charged to complementary levels and access transistors are turned on for a time t w (write-time). If the bit is not written within this time t w, then a write-time failure happens. An estimate of writetime t w will be developed, such that the fraction of cells in write-time failure is insignificant compared to other failure probabilities. Direct estimation with Monte-Carlo simulations will require humongous number of trials. Accordingly, an extreme-value theory based prediction method was used [12]. Let T w (v) be the random write-time for an SRAM cell. The write operation will be successful (from timing perspective) if T w t w, where t w is the write time fixed by the designer. The residual probability function is defined as, R w (t,x,v) := P[T w (v) > t + x T w (v) > x]. (12) Extreme value theory tells us that if lim x R w (t,x,v) converges, then the limit will be exponential. Thus, R w (t,v) := lim x R w (t,x,v) = exp( α w (v)t), (13) if the limit R w (t,v) exists. If this convergence holds, then a suitable x and α w (v) are needed for probability computation. The empirical ln(r w (t,x,v)) (with 2000 trials) is shown in Figure 4 for v = 0.4V and x such that P[T w > x] = 0.1. The parameter α w (v) is the least-square slope of ln(r w (t,x,v)). Then t w is increased such that P[T w > t w ] is negligible compared to other failure probabilities. 3.3 Simplifying assumptions Supply noise: Supply voltage noise affects the noise margin based failure estimation techniques since concepts like VTC are defined for a fixed supply voltage. Traditional method to deal with supply noise is to provide a 100mV margin. This work assumes the same approach to avoid non-trivial noise and error-probability modeling difficulties. 4

5 Figure 4. The exponential dependence of R w (t,x,v) on t is illustrated for v = 0.4V, where P[T w > x] = 0.1. Oxide trap-charge induced errors: Oxide trap-charge induced errors have been modeled as random-telegraph noise in the literature. However important issues, such as rate of trapping/detrapping, trap charge density, magnitude of gateleakage current, etc. are not known. Accordingly, its relative characterization with respect to other failures is nontrivial and is left as a future work. Finally, the optimizer allows random noise induced by trap-charges as an input. Using these SRAM cell error probability modeling techniques, the following results were obtained (see Figure 5). These will be input to the optimization framework. Holdfailure probability is negligible compared to the read-upset probability and is not shown. t 0 1sec. Note that read-write energy for SRAM cell is in the range of a few pj. The average leakage current for an SRAM cell is in the range of few na. Thus, leakage power contribution is significant only when the read-write activity is occasional. A data-lifetime t 0 1sec is coherent with the assumption that the leakage power is significant, and the results presented are for t 0 = 1sec. To understand the advantage of data-refresh, power per bit cost function P b (v) is plotted against v when the ECC is restricted to [31,26,3] Hamming code. The refresh time t r is chosen to meet the target error probability (set by SEC- DED code and soft-errors at v = 1.0V). For v 0.6V, where parametric failures are dominant, the probability constraint cannot be met by refresh, and t r is set to zero, which makes P b (v) infinite (see (6) and Figure 5). Since parametric failures are spatially fixed, therefore data-refresh will not combat its effect on error probability. The power per bit P b (v) can be reduced by 61% at a constant error-probability. Figure 6. For [31,26,3] Hamming code, P b (v) can be reduced by 61% with constant error-probability maintained by data-refresh. The refresh time is shown by dotted curve (t 0 = 1sec). Figure 5. Obtained estimates for soft-errors and parametric failures are compared in this semilog plot. At low voltages, parametric failures are significant. At highvoltages, error-probability consists of only soft-errors. 4 Leakage power optimization results For error-probability data as in Section 3, power per bit optimization results will be presented for a data-lifetime of When ECC choice includes more families (e.g. BCH codes), the following optimization procedure is used. As before, the error-probability constraint is set by the [31,26,3] code and soft-error rate at v = 1.0V. Recall that if errors and erasures (parametric failures) are distinguished, the setup is called as generalized decoding. If errors and erasures are combined, it is called as specialized decoding. The decoding failure events for the two cases were given by (3) and (5), respectively. For each ECC with parameters [n,k,d], and for each v, a refresh time t r is calculated such that the error-probability constraint is satisfied. If the probability constraint cannot be met by t r = 0 due to parametric failures, then t r is set to zero, which makes P b (v) infinite. Once data-refresh times have been computed, P b (v) function is optimized over the choice of v. This will result in optimized power per bit function for every ECC. Finally, P b (v) is optimized over ECC with same minimum distance 5

6 d, which can be thought of as the complexity of decoding. Power reduction will be measured against the per-cell leakage at v = 1.0V for [31,26,3] code. The average leakage per cell at v = 0.3V sets an upper bound of 94% on power per bit reduction. The result of this optimization procedure for generalized and specialized decoding is plotted in Figure 7 as a function of d 1 2, the number of errors that can corrected. With increasing d, the power per bit reduction gets closer to the upper bound. And, generalized decoding approaches the lower bound at a faster rate. 93% was estimated (for the CMOS 90nm technology) over multiple coding families. Data-refresh tackles random errors effectively. Specialized decoding, in which erasures and errors are combined, has an inferior power reduction compared to the generalized decoding. 6 Acknowledgements The authors wish to acknowledge the contributions of the students, faculty and sponsors of the Berkeley Wireless Research Center, the National Science Foundation Infrastructure Grant No , technology access from STMicroelectronics, and the support of the Gigascale Silicon Research Center (GSRC), one of five research centers funded under the Focus Center Research Program, a Semiconductor Research Corporation program. Discussions on this topic with Dr. T. M. Mak, Dr. M. Spica, Dr. M. Zhang, Dr. M. Roncken, and Dr. R. Mathur from Intel Corporation were very helpful. References Figure 7. Power per bit reduction gets close to the upper bound with increase in minimum distance d of ECC. And, generalized decoding based power reduction approaches the upper bound at a faster rate. Remarks: Coding introduces delay and parity overhead. Since p e (v) and p x (v) are close to zero, therefore parity overhead can be made negligible. For decoding delays, note that codes with n 1024 were used in the optimization. If n 1024, and p x (v) 10 5, then probability of no cell in error is approximately (1 np x (v)) Thus, more than 99% decoding cases require only parity check (small delay). Availability: The power optimization tool with documentation is available at the following website: 5 Conclusions SRAM leakage power reduction problem was studied in this work. It was noted that SRAM supply voltage scaling reduces the leakage power, but it increases the data error probability. Therefore, SRAM leakage power reduction, at a constant data error-probability, using system-level design techniques was studied. A probabilistic analysis framework was developed for various error-mechanisms. Failures were distinguished depending on whether their locations are fixed or random, leading to generalized decoding. System level techniques like error-correction, supply voltage reduction, and data-refresh were used. Leakage power reduction by [1] M. Sheets et al., A (6x3)cm 2 self-contained energy-scavenging wireless sensor network node, in Wireless Personal Multimedia Communications, Abano Terme, Italy, [2] M. Agostinelli et al., Erratic fluctuations of SRAM cache V min at the 90nm process technology node, in IEEE International Electron Devices Meeting, IEDM Technical Digest, Dec 2005, pp [3] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 12, pp , Dec [4] V. Degalahal et al., Soft errors issues in low-power caches, IEEE Trans. on VLSI Systems, vol. 13, no. 10, pp , Oct [5] K. Agarwal and S. Nassif, The Impact of Random Device Variation on SRAM Cell Stability in Sub-90nm CMOS Technologies, IEEE Trans. on VLSI Systems, vol. 16, no. 1, pp , Jan [6] E. Alon, V. Stojanovic, and M. Horowitz, Circuits and techniques for high-resolution measurement of on-chip power supply noise, IEEE Journal of Solid-State Circuits, vol. 40, no. 4, pp , April [7] S. S. Mukherjee et al., Cache scrubbing in microprocessors: myth or necessity? Proc. of 10th IEEE Pacific Rim Intl. Symp. on Dependable Computing, 2004., pp , March [8] F. J. MacWilliams and N. J. A. Sloane, The Theory of Error- Correcting Codes, 2nd ed. Amsterdam, CA: North Holland, [9] J. Forney, G., Generalized minimum distance decoding, IEEE Trans. on Information Theory, vol. 12, no. 2, pp , Apr [10] P. Hazucha and C. Svensson, Impact of CMOS technology scaling on the atmospheric neutron soft error rate, IEEE Trans. on Nuclear Science, vol. 47, no. 6, pp , Dec [11] L. B. Freeman, Critical charge calculations for a bipolar SRAM array, IBM J. Res. Dev., vol. 40, no. 1, pp , [12] A. A. Balkema and L. De Haan, Residual life time at great age, The Annals of Probability, vol. 2, no. 5, pp , Oct

SRAM supply voltage scaling: a reliability perspective

SRAM supply voltage scaling: a reliability perspective SRAM supply voltage scaling: a reliability perspective Joint work with my advisors at UCB: Dr. Kannan Ramchandran and Dr. Jan Rabaey Animesh Kumar Electrical Engineering IIT Bombay, Outline Introduction

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

A Low-Cost Methodology for Soft Error Free Logic Circuits

A Low-Cost Methodology for Soft Error Free Logic Circuits A Low-Cost Methodology for Soft Error Free Logic Circuits Sridevi Tumuluri 1, P.Sudhakar Rao 2 1 PG Student, Electronics & Communication Engineering, NOVA College of Engg & Tech., Vijayawada, A.P, India

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS s and Circuits Palkesh Jain Texas Instruments, Banglore, India palkesh@ti.com D. V. Kumar, J. M. Vasi, and M. B. Patil Department

More information

Error-Correcting Schemes with Dynamic Thresholds in Nonvolatile Memories

Error-Correcting Schemes with Dynamic Thresholds in Nonvolatile Memories 2 IEEE International Symposium on Information Theory Proceedings Error-Correcting Schemes with Dynamic Thresholds in Nonvolatile Memories Hongchao Zhou Electrical Engineering Department California Institute

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Harmander Singh Deogun, Dennis Sylvester, David Blaauw Department of EECS, University of Michigan, Ann Arbor, MI, US 48109 {hdeogun,dmcs,blaauw@umich.edu}

More information

Impact of RDF and RTS on the performance of SRAM cells

Impact of RDF and RTS on the performance of SRAM cells J Comput Electron (2010) 9: 122 127 DOI 10.1007/s10825-010-0340-9 Impact of RDF and RTS on the performance of SRAM cells Vinícius V.A. Camargo Nabil Ashraf Lucas Brusamarello Dragica Vasileska Gilson Wirth

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

DRAM Reliability: Parity, ECC, Chipkill, Scrubbing. Alpha Particle or Cosmic Ray. electron-hole pairs. silicon. DRAM Memory System: Lecture 13

DRAM Reliability: Parity, ECC, Chipkill, Scrubbing. Alpha Particle or Cosmic Ray. electron-hole pairs. silicon. DRAM Memory System: Lecture 13 slide 1 DRAM Reliability: Parity, ECC, Chipkill, Scrubbing Alpha Particle or Cosmic Ray electron-hole pairs silicon Alpha Particles: Radioactive impurity in package material slide 2 - Soft errors were

More information

SILICON dynamic random-access memories (DRAMs) are

SILICON dynamic random-access memories (DRAMs) are 472 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 4, NO. 4, JULY 2005 Brief Papers Performance Modeling of Resonant Tunneling-Based Random-Access Memories Hui Zhang, Pinaki Mazumder, Fellow, IEEE, Li Ding,

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

SINGLE Event Upset (SEU) is caused by radiation induced

SINGLE Event Upset (SEU) is caused by radiation induced 2002 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 63, NO. 4, AUGUST 2016 Analysis of Soft Error Rates in 65- and 28-nm FD-SOI Processes Depending on BOX Region Thickness and Body Bias by Monte-Carlo Based

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

ECC for NAND Flash. Osso Vahabzadeh. TexasLDPC Inc. Flash Memory Summit 2017 Santa Clara, CA 1

ECC for NAND Flash. Osso Vahabzadeh. TexasLDPC Inc. Flash Memory Summit 2017 Santa Clara, CA 1 ECC for NAND Flash Osso Vahabzadeh TexasLDPC Inc. 1 Overview Why Is Error Correction Needed in Flash Memories? Error Correction Codes Fundamentals Low-Density Parity-Check (LDPC) Codes LDPC Encoding and

More information

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff ESE534 Computer Organization Today Day 8: February 10, 2010 Energy, Power, Reliability Energy Tradeoffs? Voltage limits and leakage? Variations Transients Thermodynamics meets Information Theory (brief,

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Noise Modeling and Capacity Analysis for NAND Flash Memories

Noise Modeling and Capacity Analysis for NAND Flash Memories Noise Modeling and Capacity Analysis for NAND Flash Memories Qing Li, Anxiao (Andrew) Jiang, and Erich F. Haratsch Flash Components Division, LSI Corporation, San Jose, CA, 95131 Computer Sci. and Eng.

More information

Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology

Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology Changhong Dai, Nagib Hakim, Steve Walstra, Scott Hareland, Jose Maiz, Scott Yu, and Shiuh-Wuu Lee Intel Corporation, Santa Clara, California,

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array

Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array Jiajing Wang 1, Amith Singhee, Rob A. Runtenbar, Benton H. Calhoun 1 1 University of Virginia, Charlottesville, VA Carnegie

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

Radiation Effect Modeling

Radiation Effect Modeling Radiation Effect Modeling The design of electrical systems for military and space applications requires a consideration of the effects of transient and total dose radiation on system performance. Simulation

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Error Control Codes for Memories

Error Control Codes for Memories The 2 th Korea Test Conference Error Control Codes for Memories June 22, 2 Jun Jin Kong, Ph.D. (jjkong@samsung.com) Samsung Electronics Co., Ltd. (Memory) Biography of Jun Jin Kong Brief History Present:

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Characterization and Design of Sequential Circuit Elements to Combat Soft Error 1

Characterization and Design of Sequential Circuit Elements to Combat Soft Error 1 haracterization and Design of Sequential ircuit Elements to ombat Soft Error 1 Hamed Abrishami, Safar Hatami, and Massoud Pedram University of Southern alifornia Department of Electrical Engineering Los

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Low Leakage L SRAM Design in Deep Submicron Technologies

Low Leakage L SRAM Design in Deep Submicron Technologies Low Leakage L SRAM Design in Deep Submicron Technologies Behnam Amelifard, Farzan Fallah, and Massoud Pedram Univ. of Southern California Los Angeles CA USA Jan25 25, 28 Presentation at SNU Outline Introduction

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

Radiation Effect Modeling

Radiation Effect Modeling Radiation Effect Modeling The design of electrical systems for military and space applications requires a consideration of the effects of transient and total dose radiation on system performance. Simulation

More information

F14 Memory Circuits. Lars Ohlsson

F14 Memory Circuits. Lars Ohlsson Lars Ohlsson 2018-10-18 F14 Memory Circuits Outline Combinatorial vs. sequential logic circuits Analogue multivibrator circuits Noise in digital circuits CMOS latch CMOS SR flip flop 6T SRAM cell 1T DRAM

More information

Design of Fast and Robust State Elements

Design of Fast and Robust State Elements Design of Fast and Robust State Elements Yuen Hui Chee and Cheongyuen Tsang yhchee@eecs.berkeley.edu, ctsang@eecs.berkeley.edu Abstract With drastic device scaling and power reduction, latches and flip-flops

More information

Variations-Aware Low-Power Design with Voltage Scaling

Variations-Aware Low-Power Design with Voltage Scaling Variations-Aware -Power Design with Scaling Navid Azizi, Muhammad M. Khellah,VivekDe, Farid N. Najm Department of ECE, University of Toronto, Toronto, Ontario, Canada Circuits Research, Intel Labs, Hillsboro,

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Chapter 7: Channel coding:convolutional codes

Chapter 7: Channel coding:convolutional codes Chapter 7: : Convolutional codes University of Limoges meghdadi@ensil.unilim.fr Reference : Digital communications by John Proakis; Wireless communication by Andreas Goldsmith Encoder representation Communication

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Lecture 6 NEW TYPES OF MEMORY

Lecture 6 NEW TYPES OF MEMORY Lecture 6 NEW TYPES OF MEMORY Memory Logic needs memory to function (efficiently) Current memories Volatile memory SRAM DRAM Non-volatile memory (Flash) Emerging memories Phase-change memory STT-MRAM (Ferroelectric

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

What is a quantum computer? Quantum Architecture. Quantum Mechanics. Quantum Superposition. Quantum Entanglement. What is a Quantum Computer (contd.

What is a quantum computer? Quantum Architecture. Quantum Mechanics. Quantum Superposition. Quantum Entanglement. What is a Quantum Computer (contd. What is a quantum computer? Quantum Architecture by Murat Birben A quantum computer is a device designed to take advantage of distincly quantum phenomena in carrying out a computational task. A quantum

More information

Application Note to inform ANVO Customers about the Soft Error Rate Phenomenon which could potentially occur in our nvsrams.

Application Note to inform ANVO Customers about the Soft Error Rate Phenomenon which could potentially occur in our nvsrams. ANVO-SYSTEMS DRESDEN ADVANCED NON-VOLATILE SYSTEMS AN2003 Summary Application Note to inform ANVO Customers about the Phenomenon which could potentially occur in our nvsrams. Contents Summary... 1 Contents...

More information

Quantum Dot Structures Measuring Hamming Distance for Associative Memories

Quantum Dot Structures Measuring Hamming Distance for Associative Memories Article Submitted to Superlattices and Microstructures Quantum Dot Structures Measuring Hamming Distance for Associative Memories TAKASHI MORIE, TOMOHIRO MATSUURA, SATOSHI MIYATA, TOSHIO YAMANAKA, MAKOTO

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Lecture 4: Technology Scaling

Lecture 4: Technology Scaling Digital Integrated Circuits (83-313) Lecture 4: Technology Scaling Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Tradeoff between Reliability and Power Management

Tradeoff between Reliability and Power Management Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e,

More information

Analysis of flip flop design using nanoelectronic single electron transistor

Analysis of flip flop design using nanoelectronic single electron transistor Int. J. Nanoelectronics and Materials 10 (2017) 21-28 Analysis of flip flop design using nanoelectronic single electron transistor S.Rajasekaran*, G.Sundari Faculty of Electronics Engineering, Sathyabama

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014 ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Memory testing Overview Motivation and introduction Functional model of a memory A simple minded test and its limitations Fault models March tests

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Construction of low complexity Array based Quasi Cyclic Low density parity check (QC-LDPC) codes with low error floor

Construction of low complexity Array based Quasi Cyclic Low density parity check (QC-LDPC) codes with low error floor Construction of low complexity Array based Quasi Cyclic Low density parity check (QC-LDPC) codes with low error floor Pravin Salunkhe, Prof D.P Rathod Department of Electrical Engineering, Veermata Jijabai

More information

Code design: Computer search

Code design: Computer search Code design: Computer search Low rate codes Represent the code by its generator matrix Find one representative for each equivalence class of codes Permutation equivalences? Do NOT try several generator

More information

CMOS scaling rules Power density issues and challenges Approaches to a solution: Dimension scaling alone Scaling voltages as well

CMOS scaling rules Power density issues and challenges Approaches to a solution: Dimension scaling alone Scaling voltages as well 6.01 - Microelectronic Devices and Circuits Lecture 16 - CMOS scaling; The Roadmap - Outline Announcements PS #9 - Will be due next week Friday; no recitation tomorrow. Postings - CMOS scaling (multiple

More information

Online Testable Reversible Circuits using reversible gate

Online Testable Reversible Circuits using reversible gate Online Testable Reversible Circuits using reversible gate 1Pooja Rawat, 2Vishal Ramola, 1M.Tech. Student (final year), 2Assist. Prof. 1-2VLSI Design Department 1-2Faculty of Technology, University Campus,

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

Optimization of the Hamming Code for Error Prone Media

Optimization of the Hamming Code for Error Prone Media 278 IJCSNS International Journal of Computer Science and Network Security, VOL.8 No.3, March 2008 Optimization of the Hamming Code for Error Prone Media Eltayeb S. Abuelyaman and Abdul-Aziz S. Al-Sehibani

More information

Noise and Interference Characterization for MLC Flash Memories

Noise and Interference Characterization for MLC Flash Memories International Conference on Computing, Networking and Communications Invited Position Paper Track Noise and Interference Characterization for MLC Flash Memories Jaekyun Moon, Jaehyeong No Department of

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

Introduction to Low-Density Parity Check Codes. Brian Kurkoski

Introduction to Low-Density Parity Check Codes. Brian Kurkoski Introduction to Low-Density Parity Check Codes Brian Kurkoski kurkoski@ice.uec.ac.jp Outline: Low Density Parity Check Codes Review block codes History Low Density Parity Check Codes Gallager s LDPC code

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2018 Final Monday, Apr 0 5 Problems with point weightings shown.

More information

THIS paper is aimed at designing efficient decoding algorithms

THIS paper is aimed at designing efficient decoding algorithms IEEE TRANSACTIONS ON INFORMATION THEORY, VOL. 45, NO. 7, NOVEMBER 1999 2333 Sort-and-Match Algorithm for Soft-Decision Decoding Ilya Dumer, Member, IEEE Abstract Let a q-ary linear (n; k)-code C be used

More information

HCF4532B 8-BIT PRIORITY ENCODER

HCF4532B 8-BIT PRIORITY ENCODER 8-BIT PRIORITY ENCODER CONVERTS FROM 1 TO 8 TO INPUTS BINARY PROVIDES CASCADING FEATURE TO HANDLE ANY NUMBER OF INPUTS GROUP SELECT INDICATES ONE OR MORE PRIORITY INPUTS QUIESCENT CURRENT SPECIFIED UP

More information

Efficient Decoding of Permutation Codes Obtained from Distance Preserving Maps

Efficient Decoding of Permutation Codes Obtained from Distance Preserving Maps 2012 IEEE International Symposium on Information Theory Proceedings Efficient Decoding of Permutation Codes Obtained from Distance Preserving Maps Yeow Meng Chee and Punarbasu Purkayastha Division of Mathematical

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

Unequal Error Protection Codes Derived from Double Error Correction Orthogonal Latin Square Codes

Unequal Error Protection Codes Derived from Double Error Correction Orthogonal Latin Square Codes Unequal Error Protection Codes Derived from Double Error Correction Orthogonal Latin Square Codes Mustafa Demirci, Pedro Reviriego and Juan Antonio Maestro Abstract In recent years, there has been a growing

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies Model download website: mtj.umn.edu Jongyeon Kim 1, An Chen 2, Behtash Behin-Aein 2, Saurabh Kumar 1,

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) 8-BIT PRIORITY ENCODER CONVERTS FROM 1 TO 8 TO INPUTS BINARY PROVIDES CASCADING FEATURE TO HANDLE ANY NUMBER OF INPUTS GROUP SELECT INDICATES ONE OR MORE PRIORITY INPUTS QUIESCENT CURRENT SPECIFIED UP

More information

SRAM Cell, Noise Margin, and Noise

SRAM Cell, Noise Margin, and Noise SRAM Cell, Noise Margin, and Noise C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH and BAW 1 Overview Reading Rabaey 5.3 W&H 2.5 Background Reading a memory cell can disturb its value. In addition,

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information