Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array

Size: px
Start display at page:

Download "Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array"

Transcription

1 Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array Jiajing Wang 1, Amith Singhee, Rob A. Runtenbar, Benton H. Calhoun 1 1 University of Virginia, Charlottesville, VA Carnegie Mellon University, Pittsburg, PA CarnegieMellon 1

2 Outline Motivation SNM and DRV New DRV Model Based on SNM DRV Model Evaluation Conclusion

3 Motivation I: SRAM Leakage Power Savings & Stability Leakage power increases with scaling SRAM leakage power dominates Standby supply voltage (V DD ) scaling reduces leakage power effectively SRAM stability is degraded with V DD scaling Data Retention Voltage (DRV): min(v DD ) for preserving cell state 3

4 Motivation II: DRV Distribution of a Full SRAM Variations impact DRV of different cells V T variation has the strongest impact DRV is distributed on the same die DRV distribution has a heavier tail on right The tail is very important e.g. Histogram from a 5k-point Monte-Carlo (M-C) in 9nm Occurances DRV(mV) Tail sets min(v DD ) for the whole SRAM 4

5 Motivation III: Methods for DRV Tail Estimation An analytical model [Qin et al, ISQED4] for DRV of individual bitcells Complex and hard to find the tail value Full M-C simulation Accurate but too expensive for large SRAMs Small M-C simulation + extrapolation Inaccurate since DRV is a non-gaussian distribution Neither normal nor log-normal This work: Two fast and accurate methods A new DRV model based on SNM Statistical Blockade tool [Singhee et al, DATE7] 5

6 Outline Motivation SNM and DRV New DRV Model Based on SNM DRV Model Evaluation Conclusion 6

7 SNM & DRV I QB (mv) 1 DRV=65mV * Butterfly curves of the balanced/imbalanced cell at various V DD 65 V M (a) 65 1 Q (mv) QB (mv) 13 V M (b) 13 Q (mv) Static Noise Margin (SNM) the metric of stability SNMH: upper-left square SNML: lower-right square SNM = min(snmh, SNML) DRV=13mV DRV = V DD (when SNM=) 7

8 SNM & DRV II SNMH/SNML/SNM statistics SNMH/SNML is approximately normally distributed N(μ,σ ) SNMH & SNML are approximately identically distributed SNM statistics can be obtained from SNMH/SNML statistics [Calhoun et al, JSSC6] How the distribution of SNMH changes with V DD mean value μ moves, but the shape (i.e. σ) almost keeps same Occurrence V DD =.1V.V.3V.4V SNM High (mv) 8

9 SNM & DRV III SNM High (mv) 3 1 w/o 3σ 3σ 6σ 6σ V DD (mv) SNMH vs. V DD with/without mismatch in 1 FET almost linear before hitting zero (DRV point) approximately constant slope regardless of mismatch slope (k) extracted from DC sweep simulation 9

10 Outline Motivation SNM and DRV New DRV Model Based on SNM DRV Model Evaluation Conclusion 1

11 Derive DRV Model from SNM P N(μ,σ DD =V SNMH pick an initial V DD (V ); get the statistics (μ,σ ) of SNMH at V from M-C sim SNMH slope=k V DD get the linear dependency (k) of SNMH on V DD from DC sweep P N(μ,σ DD =x SNMH get SNMH statistics (normally distributed) at V DD point x: PDF: f SNMH and CDF: F SNMH with μ = μ +k(x-v ); σ = σ 11

12 Derive DRV Model from SNM Area1 P V DD =x SNM= SNM assume SNMH & SNML are i.i.d. SNM = min(snmh, SNML); so SNM CDF is (from order statistics): F SNM = F SNMH (F SNMH ) P Area1 corresponds to Area DRV = V DD (SNM=) P = DD ( DRV x) = 1 P( SNM, V x) Area DRV=x DRV get DRV statistics from SNM statistics 1

13 13 ) ( 4 1 ) ( 1 ) ( = σ μ σ μ V x k erfc V x k erfc x F DRV ( ) ( ) ) ( V x erfc k x F DRV + = μ σ Parameters k: the slope of SNMH vs. V DD V : the initial supply voltage for the small M-C sim μ, σ : the mean and standard deviation of SNMH when V DD =V erfc( ): the complementary error function SNM & DRV Model CDF of SNM distribution when V DD =x () (3) inverse CDF of DRV distribution CDF of DRV distribution ) ( 4 1 ) ( ), ( = = σ μ σ μ V x k s erfc V x k s erfc x V s SNM P DD (1)

14 Steps How to Use Our Model 1. extract k from a DC-sweep of SNM/SNMH vs. V DD. pick V, extract μ & σ from a 1.5~5K-point M-C simulation for SNMH 3. use eq.(1) to calculate P(SNM s) at some V DD point x or 4. use eq.() to calculate P(DRV x) or 5. use eq.(3) to calculate the V DD that is necessary to ensure that P(DRV V DD )=x 14

15 How to Use Our Model One example: Memory failure probability with V DD scaling Probablity(SNM ) k =.45 V = 1mV μ =11.mV σ = 9.3mV (17, 1 5 ) V DD (mv) when V DD =17mV, failure probability is 1-5 DRV for a 1Kb memory is 17mV If memory must tolerate some noise margin (e.g. mv) Use s=mv in eq.(1) Redefine DRV=V DD (SNM mv) 15

16 Outline Motivation SNM and DRV New DRV Model Based on SNM DRV Model Evaluation Conclusion 16

17 DRV Model Evaluation Compared with an alternate fast approach, the Statistical Blockade (SB) tool [Singhee et al, DATE7], up to 8σ Compared with Monte-Carlo up to 6σ 17

18 SB Tool & its application for DRV SB is a fast M-C simulation tool for rare events Perform initial sampling Build a classifier to filter samples prior to simulation Simulate only those points that are classified as tail points SB also builds a model for tail Fit true tail points to the Generalized Pareto Distribution (GPD) Estimate longer tails with GPD model Advantage Generic, i.e. can be used for any tail statistics Succeeded in previous tests on SRAM and flipflop This work: New application to DRV 18

19 DRV Model Comparison Worst DRV (mv) New model Blockade tool Normal Lognormal MonteCarlo Memory size σ Compared with M-C Average error rate 1.3% out to 6σ 1 5 speedup at 6σ Compared with SB Agreement up to 8σ 1 faster 19

20 DRV Model Evaluation II Fitting parameters of new model k: the slope of SNMH vs. V DD V : the initial supply voltage μ : the mean of SNMH when V DD =V σ : the standard deviation of SNMH when V DD =V N: the number of M-C sample points for extracting SNMH statistics To what extent does the model depend on parameter selection?

21 DRV Model Sensitivity I When N changes from 1k to 5k, the average error rate over Monte-Carlo is <3% 5 Average Error (%) Number of sample points for SNMH (N) 1

22 DRV Model Sensitivity II When k, µ, σ, or V varies, the average error rate over Monte-Carlo is <6% Average Error (%) 5 (a) k V =1 μ =11 σ =9.3 Average Error (%) 3 1 (b) μ (mv) V =1 σ =9.3 k=.45 Average Error (%) 3 (c) 1 V =1 μ =11 k= σ (mv) Average Error (%) 4 (d) V (mv) k=.45

23 Outline Motivation SNM and DRV New DRV Model Based on SNM DRV Model Evaluation Conclusion 3

24 Conclusion DRV of the SRAM cells is distributed Caused by within-die variations (i.e. mismatch) The tail point determines the minimum V DD Two new methods are proposed to model DRV tail A new model based on the connection of DRV and SNM The Statistical Blockade tool, applied to DRV for the first time Model accuracy and speed Compared with M-C (up to 6σ), avg. error rate is <% for model and SB tool New model is highly consistent with SB tool (up to 8σ) New model is insensitive to parameter fluctuations Compared with M-C for 1G-b memory, new model offers ~1 5 x speedup and SB tool offers ~1 4 x 4

25 Conclusion Model application: A canary-replica feedback scheme for standby V DD scaling in SRAM [Wang et al, CICC7] Estimate SRAM DRV tail Estimate P(DRV SRAM <DRV canary ) to configure canary cells Allows closed loop standby power management P Less power SRAM cell Failure Threshold More reliable Multiple sets of Canary cells 18Kb SRAM ARRAY Canary Replica & Test Circuit DRV 5

26 Thank You! Q & A 6

27 DRV Reduction Techniques Local mismatch impacts DRV most Use larger transistor sizes, which reduce the spread of the local threshold voltage variation Global P/N fet strength mismatch also impacts DRV Move a N/P strong process towards being balanced by using adaptive body biasing Bitline leakage impacts DRV significantly when mismatch occurs on the access transistor Use negative wordline or floating Bitline, etc., to reduce bitline leakage 7

Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design

Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design Amith Singhee 1, Jiajing Wang 2, Benton H. Calhoun 2, Rob A. Rutenbar 1 1 Dept. of

More information

CIRCUIT RELIABILITY under statistical process variation

CIRCUIT RELIABILITY under statistical process variation 1176 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 8, AUGUST 2009 Statistical Blockade: Very Fast Statistical Simulation and Modeling of Rare Circuit Events

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Countermeasures against NBTI degradation on 6T-SRAM cells

Countermeasures against NBTI degradation on 6T-SRAM cells Adv. Radio Sci., 9, 255 261, 2011 doi:10.5194/ars-9-255-2011 Author(s) 2011. CC Attribution 3.0 License. Advances in Radio Science Countermeasures against NBTI degradation on 6T-SRAM cells E. Glocker,

More information

SRAM supply voltage scaling: a reliability perspective

SRAM supply voltage scaling: a reliability perspective SRAM supply voltage scaling: a reliability perspective Joint work with my advisors at UCB: Dr. Kannan Ramchandran and Dr. Jan Rabaey Animesh Kumar Electrical Engineering IIT Bombay, Outline Introduction

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

Low Leakage L SRAM Design in Deep Submicron Technologies

Low Leakage L SRAM Design in Deep Submicron Technologies Low Leakage L SRAM Design in Deep Submicron Technologies Behnam Amelifard, Farzan Fallah, and Massoud Pedram Univ. of Southern California Los Angeles CA USA Jan25 25, 28 Presentation at SNU Outline Introduction

More information

Dynamic Combinational Circuits. Dynamic Logic

Dynamic Combinational Circuits. Dynamic Logic Dynamic Combinational Circuits Dynamic circuits Charge sharing, charge redistribution Domino logic np-cmos (zipper CMOS) Krish Chakrabarty 1 Dynamic Logic Dynamic gates use a clocked pmos pullup Two modes:

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control [MWSCAS2007] Aug. 7, 2007 Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control Masaaki Iijima, Kayoko Seto, Masahiro Numa, *Akira Tada, *Takashi Ipposhi Kobe University,

More information

Dynamic Combinational Circuits. Dynamic Logic

Dynamic Combinational Circuits. Dynamic Logic Dynamic Combinational Circuits Dynamic circuits Charge sharing, charge redistribution Domino logic np-cmos (zipper CMOS) Krish Chakrabarty 1 Dynamic Logic Dynamic gates use a clocked pmos pullup Two modes:

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 49 (2009) 642 649 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Impacts of NBTI and PBTI on SRAM

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping Yangang Wang Mark Zwolinski Michael A Merrett E-mail: yw2@ecs.soton.ac.uk University of Southampton, UK 26 th Sep.

More information

Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage

Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage Wei Wu 1, Srinivas Bodapati 2, Lei He 1,3 1 Electrical Engineering Department, UCLA 2 Intel Corporation

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories

BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories Daniele Rossi, Vasileios Tenentes, Saqib Khursheed, Bashir M. Al-Hashimi ECS, University of Southampton, UK. Email: {D.Rossi,

More information

ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN

ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN CMOS PROCESS CHARACTERIZATION VISHAL SAXENA VSAXENA@UIDAHO.EDU Vishal Saxena DESIGN PARAMETERS Analog circuit designers care about: Open-loop Gain: g m r o

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Quantifying Near-Threshold CMOS Circuit Robustness

Quantifying Near-Threshold CMOS Circuit Robustness Quantifying Near-Threshold CMOS Circuit Robustness Sean Keller, Siddharth S. Bhargav, Chris Moore, Alain J. Martin Department of Computer Science California Institute of Technology Pasadena, CA 91125,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Fast Statistical Analysis of Rare Failure Events for Memory Circuits in High- Dimensional Variation Space

Fast Statistical Analysis of Rare Failure Events for Memory Circuits in High- Dimensional Variation Space Fast Statistical Analysis of Rare Failure Events for Memory Circuits in High- Dimensional Variation Space Shupeng Sun and Xin Li Electrical & Computer Engineering Department, Carnegie Mellon University

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

On the Statistical Memory Architecture Exploration and Optimization

On the Statistical Memory Architecture Exploration and Optimization On the Statistical Memory Architecture Exploration and Optimization Charalampos Antoniadis, Georgios Karakonstantis, Nestor Evmorfopoulos, Andreas Burg and George Stamoulis Dept. of Electrical & Computer

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Kaushik Roy S. Mukhopadhyay, H. Mahmoodi, A. Raychowdhury, Chris Kim, S. Ghosh, K. Kang School of Electrical and Computer

More information

Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits

Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits Afshin Abdollahi University of Southern California Farzan Fallah Fuitsu Laboratories of America Massoud Pedram University of Southern

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

F14 Memory Circuits. Lars Ohlsson

F14 Memory Circuits. Lars Ohlsson Lars Ohlsson 2018-10-18 F14 Memory Circuits Outline Combinatorial vs. sequential logic circuits Analogue multivibrator circuits Noise in digital circuits CMOS latch CMOS SR flip flop 6T SRAM cell 1T DRAM

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Breakdown Characterization

Breakdown Characterization An Array-Based Test Circuit it for Fully Automated Gate Dielectric Breakdown Characterization John Keane, Shrinivas Venkatraman, Paulo Butzen*, and Chris H. Kim *State University of Rio Grande do Sul,

More information

Lecture 6: Circuit design part 1

Lecture 6: Circuit design part 1 Lecture 6: Circuit design part 6. Combinational circuit design 6. Sequential circuit design 6.3 Circuit simulation 6.4. Hardware description language Combinational Circuit Design. Combinational circuit

More information

Breaking the Simulation Barrier: SRAM Evaluation Through Norm Minimization

Breaking the Simulation Barrier: SRAM Evaluation Through Norm Minimization Breaking the Simulation Barrier: SRAM Evaluation Through orm Minimization email: Lara Dolecek, Masood Qazi, Devavrat Shah, Anantha Chandrakasan Department of Electrical Engineering and Computer Science,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences E. Alon Final EECS 240 Monday, May 19, 2008 SPRING 2008 You should write your results on the exam

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

Electronic Circuits Summary

Electronic Circuits Summary Electronic Circuits Summary Andreas Biri, D-ITET 6.06.4 Constants (@300K) ε 0 = 8.854 0 F m m 0 = 9. 0 3 kg k =.38 0 3 J K = 8.67 0 5 ev/k kt q = 0.059 V, q kt = 38.6, kt = 5.9 mev V Small Signal Equivalent

More information

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla An Analytical Approach to Efficient Circuit Variability Analysis in Scaled CMOS Design by Samatha Gummalla A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

New Developments in Tail-Equivalent Linearization method for Nonlinear Stochastic Dynamics

New Developments in Tail-Equivalent Linearization method for Nonlinear Stochastic Dynamics New Developments in Tail-Equivalent Linearization method for Nonlinear Stochastic Dynamics Armen Der Kiureghian President, American University of Armenia Taisei Professor of Civil Engineering Emeritus

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 8: February 9, 016 MOS Inverter: Static Characteristics Lecture Outline! Voltage Transfer Characteristic (VTC) " Static Discipline Noise Margins!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations

High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations Thomas Nirschl 1, Bernhard Wicht 2, and Doris Schmitt-Landsiedel 2 1 Infineon Technologies, CPD DAT LIB

More information

High Sensitivity Signatures for Test and Diagnosis of Analog, Mixed-Signal and Radio-Frequency Circuits

High Sensitivity Signatures for Test and Diagnosis of Analog, Mixed-Signal and Radio-Frequency Circuits High Sensitivity Signatures for Test and Diagnosis of Analog, Mixed-Signal and Radio-Frequency Circuits Ph.D. Dissertation Defense Suraj Sindia Dept. of ECE, Auburn University, AL, USA June 8, 2013 Suraj

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Statistical Gate Delay Model for Multiple Input Switching. Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University

Statistical Gate Delay Model for Multiple Input Switching. Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University Statistical Gate Delay Model for Multiple Input Switching Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University 1 Outline Motivation Effect of Multiple Input Switching on the gate delay

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

RESP: A Robust Physical Unclonable Function Retrofitted into Embedded SRAM Array

RESP: A Robust Physical Unclonable Function Retrofitted into Embedded SRAM Array RESP: A Robust Physical Unclonable Function Retrofitted into Embedded SRAM Array Yu Zheng, Maryam S. Hashemian and Swarup Bhunia Case Western Reserve University, Department of EECS, Cleveland, Ohio, 44106

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

MOSFET and CMOS Gate. Copy Right by Wentai Liu

MOSFET and CMOS Gate. Copy Right by Wentai Liu MOSFET and CMOS Gate CMOS Inverter DC Analysis - Voltage Transfer Curve (VTC) Find (1) (2) (3) (4) (5) (6) V OH min, V V OL min, V V IH min, V V IL min, V OHmax OLmax IHmax ILmax NM L = V ILmax V OL max

More information

Basics of Uncertainty Analysis

Basics of Uncertainty Analysis Basics of Uncertainty Analysis Chapter Six Basics of Uncertainty Analysis 6.1 Introduction As shown in Fig. 6.1, analysis models are used to predict the performances or behaviors of a product under design.

More information

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Microelectronics Journal 34 (003) 855 863 www.elsevier.com/locate/mejo Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Shang-Ming Wang*, Ching-Yuan Wu Institute

More information

Noise Modeling and Capacity Analysis for NAND Flash Memories

Noise Modeling and Capacity Analysis for NAND Flash Memories Noise Modeling and Capacity Analysis for NAND Flash Memories Qing Li, Anxiao (Andrew) Jiang, and Erich F. Haratsch Flash Components Division, LSI Corporation, San Jose, CA, 95131 Computer Sci. and Eng.

More information

Lecture 340 Characterization of DACs and Current Scaling DACs (5/1/10) Page 340-1

Lecture 340 Characterization of DACs and Current Scaling DACs (5/1/10) Page 340-1 Lecture 34 Characterization of DACs and Current Scaling DACs (5//) Page 34 LECTURE 34 CHARACTERZATON OF DACS AND CURRENT SCALNG DACS LECTURE ORGANZATON Outline ntroduction Static characterization of DACs

More information

PHYS225 Lecture 9. Electronic Circuits

PHYS225 Lecture 9. Electronic Circuits PHYS225 Lecture 9 Electronic Circuits Last lecture Field Effect Transistors Voltage controlled resistor Various FET circuits Switch Source follower Current source Similar to BJT Draws no input current

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

However, reliability analysis is not limited to calculation of the probability of failure.

However, reliability analysis is not limited to calculation of the probability of failure. Probabilistic Analysis probabilistic analysis methods, including the first and second-order reliability methods, Monte Carlo simulation, Importance sampling, Latin Hypercube sampling, and stochastic expansions

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Statistical Analysis Techniques for Logic and Memory Circuits

Statistical Analysis Techniques for Logic and Memory Circuits Statistical Analysis Techniques for Logic and Memory Circuits A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Qunzeng Liu IN PARTIAL FULFILLMENT OF THE

More information

Estimation of Quantiles

Estimation of Quantiles 9 Estimation of Quantiles The notion of quantiles was introduced in Section 3.2: recall that a quantile x α for an r.v. X is a constant such that P(X x α )=1 α. (9.1) In this chapter we examine quantiles

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

DDR4 Board Design and Signal Integrity Verification Challenges

DDR4 Board Design and Signal Integrity Verification Challenges DDR4 Board Design and Signal Integrity Verification Challenges Outline Enabling DDR4 Pseudo Open Drain Driver - Benefit POD SI effects VrefDQ Calculation Data Eye Simulating SSN New Drive Standards Difference

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Accurate Multi-segment Probability Density Estimation Through Moment Matching

Accurate Multi-segment Probability Density Estimation Through Moment Matching IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1 Accurate Multi-segment Probability Density Estimation Through Moment Matching Rahul Krishnan, Wei Wu, Student Member, IEEE,

More information

UCLA UCLA Electronic Theses and Dissertations

UCLA UCLA Electronic Theses and Dissertations UCLA UCLA Electronic Theses and Dissertations Title A Moment Matching Based Fitting Algorithm for High Sigma Distribution Modeling Permalink https://escholarship.org/uc/item/8df9v2cz Author Krishnan, Rahul

More information

SRAM Cell, Noise Margin, and Noise

SRAM Cell, Noise Margin, and Noise SRAM Cell, Noise Margin, and Noise C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH and BAW 1 Overview Reading Rabaey 5.3 W&H 2.5 Background Reading a memory cell can disturb its value. In addition,

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

0.9V, 5nW, 9ppm/C Resistorless Sub- Bandgap Voltage Reference in 0.18um CMOS

0.9V, 5nW, 9ppm/C Resistorless Sub- Bandgap Voltage Reference in 0.18um CMOS 0.9V, 5nW, 9ppm/C Resistorless Sub- Bandgap Voltage Reference in 0.18um CMOS Oscar Mattia, Hamilton Klimach and Sergio Bampi Microelectronics Graduate Program Electrical Engineering Department & Informatics

More information

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018 ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018 ΔΙΑΛΕΞΕΙΣ 12-13: esigning ynamic and Static CMOS Sequential Circuits ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ (ttheocharides@ucy.ac.cy) (ack: Prof. Mary Jane Irwin and

More information

CMPEN 411. Spring Lecture 18: Static Sequential Circuits

CMPEN 411. Spring Lecture 18: Static Sequential Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 18: Static Sequential Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Statistical Methods in Particle Physics

Statistical Methods in Particle Physics Statistical Methods in Particle Physics Lecture 3 October 29, 2012 Silvia Masciocchi, GSI Darmstadt s.masciocchi@gsi.de Winter Semester 2012 / 13 Outline Reminder: Probability density function Cumulative

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

512K x 32 Static RAM CY7C1062AV33. Features. Functional Description. Logic Block Diagram. Selection Guide

512K x 32 Static RAM CY7C1062AV33. Features. Functional Description. Logic Block Diagram. Selection Guide 512K x 32 Static RAM Features High speed t AA = 8 ns Low active power 1080 mw (max.) Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic power-down when deselected TTL-compatible inputs and

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits esign Perspective esigning Combinational Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit Out State Combinational

More information

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output.

Midterm. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. Pass Transistor Logic. Restore Output. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 21, 2017 Transmission Gates, Euler Paths, Energy Basics Review Midterm! Midterm " Mean: 79.5 " Standard Dev: 14.5 2 Lecture Outline!

More information

Announcements. EE141-Spring 2007 Digital Integrated Circuits. CMOS SRAM Analysis (Read/Write) Class Material. Layout. Read Static Noise Margin

Announcements. EE141-Spring 2007 Digital Integrated Circuits. CMOS SRAM Analysis (Read/Write) Class Material. Layout. Read Static Noise Margin Vo l ta ge ri s e [ V] EE-Spring 7 Digital Integrated ircuits Lecture SRM Project Launch nnouncements No new labs next week and week after Use labs to work on project Homework #6 due Fr. pm Project updated

More information

EE290C Spring Motivation. Lecture 6: Link Performance Analysis. Elad Alon Dept. of EECS. Does eqn. above predict everything? EE290C Lecture 5 2

EE290C Spring Motivation. Lecture 6: Link Performance Analysis. Elad Alon Dept. of EECS. Does eqn. above predict everything? EE290C Lecture 5 2 EE29C Spring 2 Lecture 6: Link Performance Analysis Elad Alon Dept. of EECS Motivation V in, ampl Voff BER = 2 erfc 2σ noise Does eqn. above predict everything? EE29C Lecture 5 2 Traditional Approach Borrowed

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

N-Channel Enhancement-Mode Vertical DMOS FET

N-Channel Enhancement-Mode Vertical DMOS FET N-Channel Enhancement-Mode Vertical DMOS FET Features Free from secondary breakdown Low power drive requirement Ease of paralleling Low C ISS and fast switching speeds Excellent thermal stability Integral

More information