A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

Size: px
Start display at page:

Download "A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis"

Transcription

1 ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore

2 Outline SRAM Robustness Optimization Problem Reachability Analysis by Zonotope Safety Distance and Large-signal Sensitivity SRAM Reliability Optimization Experimental Results Summary 31 January

3 SRAM Robustness Optimization Stability verification and robustness optimization become hard for SRAM circuits Process variations, mismatch among transistors cause failures at advanced nodes Static noise margin (SNM): overestimates read failure and underestimates write failure Dynamic stability margin is adopted by deploying critical word-line pulse-width How to verify and optimize? 31 January

4 Previous Work of SRAM Verification V 2 State equilibrium (V dd, V dd ) V th b Failure region Accept region Instate equilibrium 0 V th a Search for points on boundary of failure region in parameter space* 1. (0,0) State equilibrium Separatrix: boundary separating two stable regions in parameter space* 2 V 1 Confined in 2-D space, i.e. only two parameters considered. * 1 W. Dong and et.al. ICCAD, 2008 * 2 G M Huanag and et.al. IEEE Int. BMAS Workshop, January

5 Write Failure Analysis by Safety Distance BL 0 Initial state (v1,v2) = (vdd, 0) Target state (v1, v2) = (0, vdd) M1 v1 M5 1 M3 discharging WL vdd 0 M2 M4 v2 V th4 M6 charging V th6 BR Vdd V2 Safety distance Write Failure Separatrix 0 V1 Vdd Threshold voltage variation causes difficulty to move state point to the target state. A B Safety Distance is the Euclidean distance in the state space between the operating and the safe state region. 31 January

6 Read Failure Analysis by Safety Distance Initial state (v1,v2) = (vdd, 0) Target state (v1, v2) = (Vdd,0) Internal state is aimed to maintain regardless perturbation during read operation. BL 1 WL vdd M1 M2 v1 M5 0 v2 1 M3 M4 M6 charging BR Vdd V2 0 Read Failure A V1 B Safety distance Vdd Mismatch between M4 and M6 Mismatch among M January

7 SRAM Nonlinear Dynamics Nonlinear dynamics of SRAM can be defined as d q x t, t + f x t, t + u t = 0. dt Based on mean-value theorem, f(x) at neighborhood of the nominal point Charges x can be State expressed vector Input by linear approximation with 2 nd order residue as d dt q x, t + f x, t + u t + G x x Assuming q(x,t) can be decomposed d dt q x, t + f x, t) + u (t = 0 d C x + G x + L = 0 dt 31 January 2014 C = q x x=x G = f x x=x (x x ) T 2 f x 2 x=ξ x x = 0. Linearization error Nonlinear dynamics at nominal point Linear dynamics at nominal point 7

8 SRAM Verification by Reachability Analysis State-variable space Separatrix trajectory Fast verification of SRAM nonlinear dynamics by reachability analysis Variations from multiple sources considered at the same time For example, transconductance of multiple transistors considering variation in their widths can be added as follows g m = g m W W 31 January

9 Reachability Analysis: Unidirectional Zonotope Initial Set Trajectory Final Set Safe region z uni = c + Approximated Reachable Set Zonotope Set of points in n-dimensional polygon with generator g (i) Unidirectional zonotope e i=1 β i g (i), 0 β i 1 (1) g Unidirectional zonotope Zonotope 31 January (2) g c (3) g Safety distance

10 Reachability Analysis with Uncertain Parameters d dt q x, t + f x, t) + u (t = 0 d C x + G x + L = 0 dt SPICE-like simulator Backward Euler method Backward Euler method with discretized time-step h at k-th iteration by x k (i) = A 1 ( C h x(i) k 1 L k ) Euclidean distance A = C h + G Considering all parameter variations as zonotope, linear Multi-step integration for reachability analysis X k = A 1 ( C h X k 1 L k ) X k = x k (1),, x k (m) Zonotope generator matrix 31 January

11 Reachability Analysis with Uncertain Parameters Parameter variations can be considered by the interval matrix A which is represented by a matrix zonotope. Zonotope matrix represented in terms of interval-valued matrices as A A (0) A i i, A 0 + A (i) in which each matrix zonotope generator A (i) contains the variation range of a parameter. A (i) = A(0) i W = G (i) W The inverse of A width variations is approximated as follows A 1 = A (0) 1,, A (0) 1 A (m) A (0) 1 Inverse of A (0) is computed by LU decomposition i 31 January

12 SRAM Robustness Optimization Problem Optimization of SRAM dynamic stability is modeled as a minimum value problem. min F(w) S. T. W min < w i < W max BL M5 M1 v1 M3 WL vdd M2 M4 v2 M6 BR Safety distance for write operation Pulse width Due to symmetric structure, w R 3 1 F w = D w w, t w + D r w, t r, write and read failures D w w, t w, only write failure D r w, t r, only read failure Safety distance for read operation 31 January

13 Large-signal Sensitivity of Safety Distance p safe Safe region Safety distance q D = p safe c ε (i) g (i) 2, 0 ε (i) 1 D = q i=1 i=1 (p safe c final ) T (i) p safe c final 2 g final Zonotope center Zonotope generator Normal vector Projection on normal vector () i g final c final Large-signal sensitivity S D, w Reachable set Nominal trajectory D w Variation of safety distance 31 January

14 Reliability Optimization with Sensitivity of Safety Distance Based on the calculated sensitivity, optimization is performed Increment of parameter vector in direction of optimization is w k = β k ρ k the gradient of obj. function Suppose gradient is constant in the state-variable space: F w k, t + w k+1 T ρ k = 0 β k+1 = F w k, t ρ k T ρ k Virtually gradient decreases as safety distance becomes smaller. In other words, gradient should be smaller in the next search step. β k+1 = γ F w k,t ρ k T ρ k, 0 < γ <1 Use empirical factor γ to modulate step size. 31 January

15 SRAM Robustness Optimization Flow Set initial state, interval parameters and V th. Set max. number of optimization cycles as max_cycle. k = 0 Run reachability analysis. V th stepping Derive sensitivity of safety distance w.r.t. multiple parameters No Yes Deadlock? Compute increment of parameter vector w. k k k No k = max_cycle? Yes Terminate 31 January

16 Experimental Results WL Implemented in Matlab Platform Core i5 3.2GHz processor 8GB memory Preset parameters simulation Transistor width varies within 100,600 nm Relative standard deviation of V th = 10%. Optimization is performed for the most adverse situations. 31 January BL BL M1 M1 WL vdd 1 M5 0 M2 BR v1 0 v2 1 M3 vdd 1 M5 0 M2 M4 M6 BR v1 1 v2 1 M3 M4 M6 Threshold variations for read operation Threshold variations for write operation

17 Optimization of Read Failure [200, 300, 300]nm [148, 343, 217]nm 31 January

18 Optimization of Write Failure [381, 440, 497]nm [400, 500, 350]nm 31 January

19 Optimization of Read + Write Failure Optimization result: [W 1, W 3, W 5 ] = 192,330,586 nm Transistor widths : [W 1, W 3, W 5 ] = 200,400,400 nm Read pulse width is 9ns. Write pulse width is 0.024ns. 31 January

20 Yield Before and After Optimization Yield rate 1 N failure N total Write failure Yield rate is improved from 6.8% to %. Read failure Verify yield rate before and after optimization by Monte Carlo with 1000 samples. Write operation is optimized at the expense of read operation. 31 January

21 Optimization with Small-signal Sensitivity s = x k w = C h + G 1 G w 1 C h + G ( C h x k 1 + u k ) Small-signal sensitivity The new routine fails to find a feasible solution and results in negative width after 3 iterations. The width M5 fails to be tuned (stays at 400nm). 31 January

22 Runtime Comparison Iteration Transistor widths (nm) Sensitivity based RA (s) MC (s) Speedup 1 [185, 371, 451] x 2 [177, 359, 485] X 3 [173, 349, 515] X 4 [171, 340, 545] x 5 [181, 329, 574] x 6 [192, 330, 586] x 31 January

23 Summary Formulated SRAM dynamic stability verification and optimization problem Proposed large-signal sensitivity of safety distance in the state space by reachability analysis Significantly improved SRAM yield rate in presence of parameter variations 31 January

24 Thank You! Please send comments to

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array

Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array Jiajing Wang 1, Amith Singhee, Rob A. Runtenbar, Benton H. Calhoun 1 1 University of Virginia, Charlottesville, VA Carnegie

More information

Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design

Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design Amith Singhee 1, Jiajing Wang 2, Benton H. Calhoun 2, Rob A. Rutenbar 1 1 Dept. of

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 8: February 9, 016 MOS Inverter: Static Characteristics Lecture Outline! Voltage Transfer Characteristic (VTC) " Static Discipline Noise Margins!

More information

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter

ECE 438: Digital Integrated Circuits Assignment #4 Solution The Inverter ECE 438: Digital Integrated Circuits Assignment #4 The Inverter Text: Chapter 5, Digital Integrated Circuits 2 nd Ed, Rabaey 1) Consider the CMOS inverter circuit in Figure P1 with the following parameters.

More information

Analog Circuit Verification by Statistical Model Checking

Analog Circuit Verification by Statistical Model Checking 16 th Asian Pacific Design Automation ti Conference Analog Circuit Verification by Statistical Model Checking Author Ying-Chih Wang (Presenter) Anvesh Komuravelli Paolo Zuliani Edmund M. Clarke Date 2011/1/26

More information

Incremental Latin Hypercube Sampling

Incremental Latin Hypercube Sampling Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits Yen-Lung Chen +, Wei Wu *, Chien-Nan Jimmy Liu + and Lei He * EE Dept., National Central University,

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

STATE ESTIMATION IN DISTRIBUTION SYSTEMS

STATE ESTIMATION IN DISTRIBUTION SYSTEMS SAE ESIMAION IN DISRIBUION SYSEMS 2015 CIGRE Grid of the Future Symposium Chicago (IL), October 13, 2015 L. Garcia-Garcia, D. Apostolopoulou Laura.GarciaGarcia@ComEd.com Dimitra.Apostolopoulou@ComEd.com

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

Determining the Existence of DC Operating Points in Circuits

Determining the Existence of DC Operating Points in Circuits Determining the Existence of DC Operating Points in Circuits Mohamed Zaki Department of Computer Science, University of British Columbia Joint work with Ian Mitchell and Mark Greenstreet Nov 23 nd, 2009

More information

Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage

Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage Wei Wu 1, Srinivas Bodapati 2, Lei He 1,3 1 Electrical Engineering Department, UCLA 2 Intel Corporation

More information

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU SPICE odeling of STT-RA for Resilient Design Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU OUTLINE - 2 - Heterogeneous emory Design A Promising Candidate:

More information

ECE 342 Electronic Circuits. Lecture 35 CMOS Delay Model

ECE 342 Electronic Circuits. Lecture 35 CMOS Delay Model ECE 34 Electronic Circuits Lecture 35 CMOS Delay Model Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 34 Jose Schutt Aine 1 Digital Circuits V IH : Input

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 49 (2009) 642 649 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Impacts of NBTI and PBTI on SRAM

More information

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Design Automation Group An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Authors : Lengfei Han (Speaker) Xueqian Zhao Dr. Zhuo Feng

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology From Spin Torque Random Access Memory to Spintronic Memristor Xiaobin Wang Seagate Technology Contents Spin Torque Random Access Memory: dynamics characterization, device scale down challenges and opportunities

More information

Solving Corrupted Quadratic Equations, Provably

Solving Corrupted Quadratic Equations, Provably Solving Corrupted Quadratic Equations, Provably Yuejie Chi London Workshop on Sparse Signal Processing September 206 Acknowledgement Joint work with Yuanxin Li (OSU), Huishuai Zhuang (Syracuse) and Yingbin

More information

M.S. Project Report. Efficient Failure Rate Prediction for SRAM Cells via Gibbs Sampling. Yamei Feng 12/15/2011

M.S. Project Report. Efficient Failure Rate Prediction for SRAM Cells via Gibbs Sampling. Yamei Feng 12/15/2011 .S. Project Report Efficient Failure Rate Prediction for SRA Cells via Gibbs Sampling Yamei Feng /5/ Committee embers: Prof. Xin Li Prof. Ken ai Table of Contents CHAPTER INTRODUCTION...3 CHAPTER BACKGROUND...5

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Low-power adiabatic 9T static random access memory

Low-power adiabatic 9T static random access memory Low-power adiabatic 9T static random access memory Yasuhiro Takahashi 1, Nazrul Anuar Nayan, Toshikazu Sekine 1, Michio Yokoyama 3 1 Department of Electrical, Electronic and Computer Engineering, Faculty

More information

Uncertainty Quantification in MEMS

Uncertainty Quantification in MEMS Uncertainty Quantification in MEMS N. Agarwal and N. R. Aluru Department of Mechanical Science and Engineering for Advanced Science and Technology Introduction Capacitive RF MEMS switch Comb drive Various

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Sensitivity and Reliability Analysis of Nonlinear Frame Structures

Sensitivity and Reliability Analysis of Nonlinear Frame Structures Sensitivity and Reliability Analysis of Nonlinear Frame Structures Michael H. Scott Associate Professor School of Civil and Construction Engineering Applied Mathematics and Computation Seminar April 8,

More information

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping Yangang Wang Mark Zwolinski Michael A Merrett E-mail: yw2@ecs.soton.ac.uk University of Southampton, UK 26 th Sep.

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

L03: pn Junctions, Diodes

L03: pn Junctions, Diodes 8/30/2012 Page 1 of 5 Reference:C:\Users\Bernhard Boser\Documents\Files\Lib\MathCAD\Default\defaults.mcd L03: pn Junctions, Diodes Intrinsic Si Q: What are n, p? Q: Is the Si charged? Q: How could we make

More information

Reduction of Random Variables in Structural Reliability Analysis

Reduction of Random Variables in Structural Reliability Analysis Reduction of Random Variables in Structural Reliability Analysis S. ADHIKARI AND R. S. LANGLEY Cambridge University Engineering Department Cambridge, U.K. Random Variable Reduction in Reliability Analysis

More information

Basics of Uncertainty Analysis

Basics of Uncertainty Analysis Basics of Uncertainty Analysis Chapter Six Basics of Uncertainty Analysis 6.1 Introduction As shown in Fig. 6.1, analysis models are used to predict the performances or behaviors of a product under design.

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic ECE 34 Electronic Circuits Lecture 34 CMOS Logic Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 De Morgan s Law Digital Logic - Generalization ABC... ABC...

More information

Distributed Optimization: Analysis and Synthesis via Circuits

Distributed Optimization: Analysis and Synthesis via Circuits Distributed Optimization: Analysis and Synthesis via Circuits Stephen Boyd Prof. S. Boyd, EE364b, Stanford University Outline canonical form for distributed convex optimization circuit intepretation primal

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Reduction of Random Variables in Structural Reliability Analysis

Reduction of Random Variables in Structural Reliability Analysis Reduction of Random Variables in Structural Reliability Analysis S. Adhikari and R. S. Langley Department of Engineering University of Cambridge Trumpington Street Cambridge CB2 1PZ (U.K.) February 21,

More information

Modeling the Effect of V th -Variations on Static Noise Margin

Modeling the Effect of V th -Variations on Static Noise Margin 142 Int'l Conf. Modeling, Sim. and Vis. Methods MSV'16 Modeling the Effect of V th -Variations on Static Noise Margin Azam Beg College of Information Technology, United Arab Emirates University, Al-Ain,

More information

Worst case analysis of mechanical structures by interval methods

Worst case analysis of mechanical structures by interval methods Worst case analysis of mechanical structures by interval methods Arnold Neumaier (University of Vienna, Austria) (joint work with Andrzej Pownuk) Safety Safety studies in structural engineering are supposed

More information

A New Approach for Computation of Timing Jitter in Phase Locked Loops

A New Approach for Computation of Timing Jitter in Phase Locked Loops A New Approach for Computation of Timing Jitter in Phase ocked oops M M. Gourary (1), S. G. Rusakov (1), S.. Ulyanov (1), M.M. Zharov (1),.. Gullapalli (2), and B. J. Mulvaney (2) (1) IPPM, Russian Academy

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Design of Analog Integrated Circuits

Design of Analog Integrated Circuits Design of Analog Integrated Circuits Chapter 11: Introduction to Switched- Capacitor Circuits Textbook Chapter 13 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

Accounting for Non-linear Dependence Using Function Driven Component Analysis

Accounting for Non-linear Dependence Using Function Driven Component Analysis Accounting for Non-linear Dependence Using Function Driven Component Analysis Lerong Cheng Puneet Gupta Lei He Department of Electrical Engineering University of California, Los Angeles Los Angeles, CA

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

A nonlinear filtering tool for analysis of hot-loop test campaings

A nonlinear filtering tool for analysis of hot-loop test campaings A nonlinear filtering tool for analysis of hot-loop test campaings Enso Ikonen* Jenő Kovács*, ** * Systems Engineering Laboratory, Department of Process and Environmental Engineering, University of Oulu,

More information

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor Hendrik Bluhm Andre Kruth Lotte Geck Carsten Degenhardt 1 0 Ψ 1 Quantum Computing

More information

Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions

Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions 1 Piecewise Nonlinear Approach to the Implementation of Nonlinear Current Transfer Functions Chunyan Wang Abstract A piecewise nonlinear approach to the nonlinear circuit design has been proposed in this

More information

Low Leakage L SRAM Design in Deep Submicron Technologies

Low Leakage L SRAM Design in Deep Submicron Technologies Low Leakage L SRAM Design in Deep Submicron Technologies Behnam Amelifard, Farzan Fallah, and Massoud Pedram Univ. of Southern California Los Angeles CA USA Jan25 25, 28 Presentation at SNU Outline Introduction

More information

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Outline Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Bei Yu 1 Sheqin Dong 1 Yuchun Ma 1 Tao Lin 1 Yu Wang 1 Song Chen 2 Satoshi GOTO 2 1 Department of Computer Science

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Construction of a reconfigurable dynamic logic cell

Construction of a reconfigurable dynamic logic cell PRAMANA c Indian Academy of Sciences Vol. 64, No. 3 journal of March 2005 physics pp. 433 441 Construction of a reconfigurable dynamic logic cell K MURALI 1, SUDESHNA SINHA 2 and WILLIAM L DITTO 3 1 Department

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

SIPMOS Small-Signal-Transistor

SIPMOS Small-Signal-Transistor Type SIPMOS Small-Signal-Transistor Feature N-Channel Enhancement mode Logic level BSS131 Product Summary V DS 24 V R DS(on),max 14 Ω I D.1 A dv /dt rated Pb-free lead-plating; RoHS compliant PG-SOT-23

More information

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Outline 1. Introduction to MOS structure 2. Electrostatics of MOS in thermal equilibrium 3. Electrostatics of MOS with

More information

A Clustering Technique for Fast Electrothermal Analysis of On-Chip Power Distribution Networks

A Clustering Technique for Fast Electrothermal Analysis of On-Chip Power Distribution Networks A Clustering Technique for Fast Electrothermal Analysis of On-Chip Power Distribution Networks A. Magnani 1, M. de Magistris 1, A. Maffucci 2, A. Todri Sanial 3 maffucci@unicas.it (1) Dept. of Electrical

More information

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation Optimizing Stresses for Testing RAM Cell efects Using Electrical Simulation Zaid Al-Ars Ad J. van de Goor Faculty of Information Technology and Systems Section of Computer Engineering elft University of

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

ECE 342 Solid State Devices & Circuits 4. CMOS

ECE 342 Solid State Devices & Circuits 4. CMOS ECE 34 Solid State Devices & Circuits 4. CMOS Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 34 Jose Schutt Aine 1 Digital Circuits V IH : Input

More information

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 2 CMOS Transistor Theory Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction MOS Device Design Equation Pass Transistor Jin-Fu Li, EE,

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control [MWSCAS2007] Aug. 7, 2007 Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control Masaaki Iijima, Kayoko Seto, Masahiro Numa, *Akira Tada, *Takashi Ipposhi Kobe University,

More information

Chapter 3 Numerical Methods

Chapter 3 Numerical Methods Chapter 3 Numerical Methods Part 2 3.2 Systems of Equations 3.3 Nonlinear and Constrained Optimization 1 Outline 3.2 Systems of Equations 3.3 Nonlinear and Constrained Optimization Summary 2 Outline 3.2

More information

EE115C Digital Electronic Circuits Homework #4

EE115C Digital Electronic Circuits Homework #4 EE115 Digital Electronic ircuits Homework #4 Problem 1 Power Dissipation Solution Vdd =1.0V onsider the source follower circuit used to drive a load L =20fF shown above. M1 and M2 are both NMOS transistors

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor

Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Microelectronics Journal 34 (003) 855 863 www.elsevier.com/locate/mejo Analysis and design of a new SRAM memory cell based on vertical lambda bipolar transistor Shang-Ming Wang*, Ching-Yuan Wu Institute

More information

WHEN studying distributed simulations of power systems,

WHEN studying distributed simulations of power systems, 1096 IEEE TRANSACTIONS ON POWER SYSTEMS, VOL 21, NO 3, AUGUST 2006 A Jacobian-Free Newton-GMRES(m) Method with Adaptive Preconditioner and Its Application for Power Flow Calculations Ying Chen and Chen

More information

TOSHIBA Field Effect Transistor Silicon N Channel MOS Type (π-mosv) 2SK3538

TOSHIBA Field Effect Transistor Silicon N Channel MOS Type (π-mosv) 2SK3538 SK TOSHIBA Field Effect Transistor Silicon N Channel MOS Type (π-mosv) SK Switching Regulator, DC-DC Converter Applications Unit: mm Low drain-source ON resistance: RDS (ON) = 7 mω (typ.) High forward

More information

High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations

High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations High Speed, Low Power Design Rules for SRAM Precharge and Self-timing under Technology Variations Thomas Nirschl 1, Bernhard Wicht 2, and Doris Schmitt-Landsiedel 2 1 Infineon Technologies, CPD DAT LIB

More information

ADAPTIVE FEEDBACK LINEARIZING CONTROL OF CHUA S CIRCUIT

ADAPTIVE FEEDBACK LINEARIZING CONTROL OF CHUA S CIRCUIT International Journal of Bifurcation and Chaos, Vol. 12, No. 7 (2002) 1599 1604 c World Scientific Publishing Company ADAPTIVE FEEDBACK LINEARIZING CONTROL OF CHUA S CIRCUIT KEVIN BARONE and SAHJENDRA

More information

Quantifying Near-Threshold CMOS Circuit Robustness

Quantifying Near-Threshold CMOS Circuit Robustness Quantifying Near-Threshold CMOS Circuit Robustness Sean Keller, Siddharth S. Bhargav, Chris Moore, Alain J. Martin Department of Computer Science California Institute of Technology Pasadena, CA 91125,

More information

9th International Symposium on Quality Electronic Design

9th International Symposium on Quality Electronic Design 9th International Symposium on Quality Electronic Design Projection-Based Piecewise-Linear Response Surface Modeling for Strongly Nonlinear VLSI Performance Variations Xin Li Department of Electrical and

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

COMPARISON OF TWO METHODS TO SOLVE PRESSURES IN SMALL VOLUMES IN REAL-TIME SIMULATION OF A MOBILE DIRECTIONAL CONTROL VALVE

COMPARISON OF TWO METHODS TO SOLVE PRESSURES IN SMALL VOLUMES IN REAL-TIME SIMULATION OF A MOBILE DIRECTIONAL CONTROL VALVE COMPARISON OF TWO METHODS TO SOLVE PRESSURES IN SMALL VOLUMES IN REAL-TIME SIMULATION OF A MOBILE DIRECTIONAL CONTROL VALVE Rafael ÅMAN*, Heikki HANDROOS*, Pasi KORKEALAAKSO** and Asko ROUVINEN** * Laboratory

More information

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU A Universal Memory Model for Design Exploration Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU Universal Memory Modeling because there is no universal memory device! Modeling needs in

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

QuIDD-Optimised Quantum Algorithms

QuIDD-Optimised Quantum Algorithms QuIDD-Optimised Quantum Algorithms by S K University of York Computer science 3 rd year project Supervisor: Prof Susan Stepney 03/05/2004 1 Project Objectives Investigate the QuIDD optimisation techniques

More information

Safety Envelope for Load Tolerance and Its Application to Fatigue Reliability Design

Safety Envelope for Load Tolerance and Its Application to Fatigue Reliability Design Safety Envelope for Load Tolerance and Its Application to Fatigue Reliability Design Haoyu Wang * and Nam H. Kim University of Florida, Gainesville, FL 32611 Yoon-Jun Kim Caterpillar Inc., Peoria, IL 61656

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Recap Technology Trends Lecture 2: Transistor Inverter Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) 1 2 Recap Threshold Voltage

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng University of California - Santa Barbara Problem Find

More information

ECE 546 Lecture 16 MNA and SPICE

ECE 546 Lecture 16 MNA and SPICE ECE 546 Lecture 16 MNA and SPICE Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Nodal Analysis The Node oltage method

More information

Reachability Analysis: State of the Art for Various System Classes

Reachability Analysis: State of the Art for Various System Classes Reachability Analysis: State of the Art for Various System Classes Matthias Althoff Carnegie Mellon University October 19, 2011 Matthias Althoff (CMU) Reachability Analysis October 19, 2011 1 / 16 Introduction

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Stochastic optimization - how to improve computational efficiency?

Stochastic optimization - how to improve computational efficiency? Stochastic optimization - how to improve computational efficiency? Christian Bucher Center of Mechanics and Structural Dynamics Vienna University of Technology & DYNARDO GmbH, Vienna Presentation at Czech

More information

Advanced Technique for Dielectric Analyses

Advanced Technique for Dielectric Analyses Chroma Systems Solutions, Inc. Advanced Technique for Dielectric Analyses 190xx Series Hipot Testers Keywords: Dielectric Analyses, Destructive testing, Threshold Detection, Breakdown, Test Damage. Title:

More information

LECTURE 380 TWO-STAGE OPEN-LOOP COMPARATORS - II (READING: AH ) Trip Point of an Inverter

LECTURE 380 TWO-STAGE OPEN-LOOP COMPARATORS - II (READING: AH ) Trip Point of an Inverter Lecture 380 Two-Stage Open-Loop Comparators-II (4/5/02) Page 380-1 LECTURE 380 TWO-STAGE OPEN-LOOP COMPARATORS - II (READING: AH 445-461) Trip Point of an Inverter V DD In order to determine the propagation

More information

Reliable Condition Assessment of Structures Using Uncertain or Limited Field Modal Data

Reliable Condition Assessment of Structures Using Uncertain or Limited Field Modal Data Reliable Condition Assessment of Structures Using Uncertain or Limited Field Modal Data Mojtaba Dirbaz Mehdi Modares Jamshid Mohammadi 6 th International Workshop on Reliable Engineering Computing 1 Motivation

More information