Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition Chapter Pearson Education, Inc.

Size: px
Start display at page:

Download "Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition Chapter Pearson Education, Inc."

Transcription

1

2 -3* Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 28 Pearson Education, Inc. -7* Decimal, Binary, Octal and Hexadecimal Numbers from (6) to (3) Dec Bin Oct Hex A B D E F -9* ( ) 2 = = 77 (.) 2 = = (.) 2 = = Decimal Binary Octal Hexadecimal BD.A D6.A F37.A -* a) = 3.6 => = 4.8 => = 6.4 => =3.2 => ( ) = ( ) 8 b) ( ) = (792.4B) 6 -* c) (75.75) = (.) 2 a) (673.6) 8 = (.) 2 = (BB.) 6 b) (E7.B) 6 = (.) 2 = (774.54) 8 c) (3.2) 4 = (.) 2-6* = (64.4) 8 a) (BEE) r = (2699) r r + 4 r = 2699 r r 2685 = By the quadratic equation: r = 5 or 6.27 ANSWER: r = 5

3 Problem Solutions hapter -8* b) (365) r = (94) 3 r r + 5 r = 94 3 r r 89 = By the quadratic equation: r = 9 or 7 ANSWER: r = 7 a) ( ) BD = (4867) = () 2-9* b) (. ) BD = (378.75) = (.) 2 (694) = ( ) BD (835) = ( ) BD * (a) Move R column > Subtract 3 - Subtract 3 - Move R column > Subtract 3 - Move R Move R Move R Move R Leftmost in BD number shifted out: Finished (b) 2 Move R and columns > Subtract Move R and columns > Subtract Move R column > Subtract 3 - Move R Move R Move R column > Subtract 3 - Move R Move R Move R Leftmost in BD number shifted out: Finished 2

4 Problem Solutions hapter -25* a) () 2 b) ( ) BD c) ASII d) ASII with Odd Parity 3

5 2-.* a) Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 2 28 Pearson Education, Inc. YZ = + Y + Z Verification of DeMorgan s Theorem Y Z YZ YZ +Y+Z b) + YZ = ( + Y) ( + Z) The Second Distributive Law Y Z YZ +YZ +Y +Z (+Y)(+Z) c) Y + YZ + Z = Y + YZ + Z Y Z Y YZ Z Y+YZ+Z Y YZ Z Y+YZ+Z 2-2.* a) Y Y Y = Y = ( Y + Y) + ( Y + Y) = Y ( + Y) + Y ( + ) = + Y b) AB + B + AB + B = = ( AB + AB) + ( B + B) = B( A + A) + B ( + )

6 Problem Solutions hapter 2 B + B = c) Y + Z + Y = + Y + Z = Y + Y + Z = ( Y + ) ( Y + Y) + Z = Y + + Z = Y + ( + ) ( + Z) = + Y + Z d) Y + YZ + Z + Y + YZ = Y + Z + YZ = Y + YZ( + ) + Z + Y + YZ = Y + YZ + YZ + Z + Y + YZ = Y( + Z) + YZ + Z + Y + YZ = Y + Z( + Y) + Y + YZ = Y + Z + Y( Z + Z) + YZ = Y + Z + YZ + YZ( + ) = Y + Z( + Y) + YZ = Y + Z + YZ 2-7.* a) b) c) d) Y + YZ + Y = + YZ = ( + Y) ( + Z) = ( + ) ( + Y) ( + Z) = ( + Y) ( + Z) = + YZ + Y( Z + + Z) = + Y( Z + Z) = + Y( Z + ) ( Z + Z) = + YZ + Y = ( + ) ( + Y) + YZ = + Y + YZ = + Y W( Z + YZ) + W ( + WYZ) = WZ + WYZ + W + WYZ = WZ + WZ + W = W + W = ( AB + AB) ( D + D) + A = ABD + ABD + ABD + ABD + A + = ABD + A + = A + + A( BD) = A + + ( BD) = A + + BD 2-9.* a) b) c) d) F = ( A + B) ( A + B) F = (( V + W) + Y)Z F = [ W + + ( Y + Z) ( Y + Z) ][ W+ + YZ + YZ] F = AB + ( A + B) + A( B + ) 2-.* Truth Tables a, b, c Y Z a A B b W Y Z c 2

7 Problem Solutions hapter 2 Truth Tables a, b, c a) Sum of Minterms: Product of Maxterms: b) Sum of Minterms: Product of Maxterms: c) Sum of Minterms: Product of Maxterms: YZ + YZ + YZ + YZ ( + Y + Z) ( + Y + Z) ( + Y+ Z) ( + Y + Z) AB + AB + AB + AB ( A + B + ) ( A + B + ) ( A + B + ) ( A + B + ) WYZ + WYZ + WYZ + WYZ + WYZ + WYZ + WYZ ( W+ + Y+ Z) ( W + + Y + Z) ( W + + Y + Z) ( W+ + Y+ Z) ( W + + Y + Z) ( W + + Y + Z) ( W+ + Y+ Z) ( W + + Y + Z) ( W + + Y + Z) 2-2.* a) ( AB + ) ( B + D) = AB + ABD + B = AB + B s.o.p. = BA ( + ) p.o.s. b) + ( + Y) ( Y + Z) = ( + ) ( + ( + Y) ( Y + Z) ) = ( + + Y) ( + Y + Z) p.o.s. = ( + Y) ( + Y + Z) = + Y + Z s.o.p. c) ( A + B + D) ( B + EF) = ( A + B + ) ( A + B + D) ( A + + D) ( B + EF) = ( A + B + ) ( A + B + D) ( A + + D) ( B + E) ( B + F) p.o.s. ( A + B + D) ( B + EF) = AB ( + EF) + B( B + EF) + D( B + EF) = AB + AEF + BEF + BD + DEF s.o.p. 2-5.* 2-8.* a) Y b) B c) A A Z Z + Y A + B B + a) b) c) Y Y B W A Z Z D Σm( 3567,,, ) Σm( ,,,,,,, ) Σm(, 2, 6, 7, 8,, 3, 5) B 3

8 Problem Solutions hapter * a) Prime= ZWZWZ,,, b) Prime = D, A, BD, ABD, B c) Prime = AB, A, AD, B, BD, D 2-22.* a) s.o.p. D + A + BD b) s.o.p. A + BD + AD c) s.o.p. BD + ABD + ( AB or AD) p.o.s. ( + D) ( A + D) ( A + B + ) p.o.s. ( + D) ( A + D) ( A + B + ) p.o.s. ( A + B) ( B + D) ( B + + D) 2-25.* 2-32.* Essential = Z, Z Essential = A, BD, ABD Essential = A, B, BD a) b) Y c) B A B W A Z D Primes = AB, A, B, AB Primes = Z, Z, WY, WY, WYZ, WYZ Primes = AB,, AD, BD Essential = AB, A, B Essential = Z Essential =, AD F = AB + A + B F = Z + WY + WY F = + AD +( BD or AB) Y = Y + Y Dual ( Y ) = Dual ( Y + Y) = ( + Y) ( + Y) = Y + Y = Y + Y = Y 4

9 3-2.* Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 3 28 Pearson Education, Inc. A B F = AB + A D 3-24.* a) b) VDD F 7 F 6 F 5 F 4 F 3 F 2 F F A A A A G 7 G 6 G 5 G 4 G 3 G 2 G G

10 Problem Solutions hapter * D D D 2 D 3 D 4 D 5 D 6 D 7 A A A 2 DEODER A A A D 8 D 9 D D D 2 D 3 A 3 A 4 DEODER A A 2 3 D 4 D 5 D 6 D 7 D 8 D 9 D 2 D 2 D 22 D 23 D 24 D 25 D 26 D 27 D 28 D 29 D 3 D * D 3 D 2 D D A A V V = D + D + D 2 + D 3 A = D ( D + D 2 ) A = D D A D D 2 D 3 D D D D 2 A D D 3 D 2 D 3 D A A V 2

11 Problem Solutions hapter * D(7:) A(2:) 8x MU D (7:) Y S (2:) D(4:8) A(3) 8x MU D (6:) Y D (7) S (2:) 3 OR gates 3-43.* A A E D D D 2 D 3 onsider E as the data input and A, A as the select lines. For a given combination on (A, A), the value of E is distributed to the corresponding D output. For example for (A, A) = (), the value of E appears on D2, while all other outputs have value * A B D F F=D F= D F= D F= D VDD B A 4 x MU S S D Y D D 2 D 3 F 3

12 4-2.* Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 4 28 Pearson Education, Inc. = T 3 + T 2 = T + T 2 = A B + A + B = ( A + B ) + A B = ( A B + )( A + B ) = A B + A + B S = T 4 = T T 2 = A B ( A + B ) = ( A + B )( A + B ) = A B + A B S = A B T 3 T T 4 T * Unsigned s omplement 2 s omplement 4-6.* +36 = - 24 = - 35 = 36 +( 24) + = 2 = 35 - ( 24) + = = 4-6.* S A B 4 S 3 S 2 S S a) b) c) d) e)

13 Problem Solutions hapter * N N N3 N5 N4 N6 f 4-24.* begin F <= ( and Z) or ((not Y) and Z); end; 4-29.* The solution given is very thorough since it checks each of the carry connections between adjacent cells transferring and. In contrast a test applying = and A = 5 with B = would allow a whole variety of incorrect connections between cells that would not be detected. 4-3.*(Errata: Replace E with EN.) 2

14 Problem Solutions hapter * N N N3 N5 N4 N6 f 4-38.* module circuit_4_53(, Y, Z, F); input, Y, Z; output F; assign F = ( & Z) (Z & ~Y); endmodule 4-43.* The solution given is very thorough since it checks each of the carry connections between adjacent cells transferring and. In contrast a test applying = and A = 5 with B = would allow a whole variety of incorrect connections between cells that would not be detected. 3

15 Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 5 28 Pearson Education, Inc. 5-7.* 5-.* 5-3.* = = Present state Input Next state A B A B State diagram is the combination of the above two diagrams. S A = B R A = B Present state Input Next state Output A B A B Y S B A = R B A = 2 3 / / / / / / / / Format: /Y Present state Input Next state A B A B A B A B D A D B D A = A + B D B = A + B Logic diagram not given.

16 Problem Solutions hapter * / x/x Format: Y/Z (x = unspecified) x/x / / / Present state Inputs Next state Output Q(t) Y Q(t+) Z 5-26.* To use a one-hot assignment, the two flip-flops A and B need to be replaced with four flip-flops Y4, Y3, Y2. Y. Present State Input Next State Output A B Y4 Y3 Y2 Y A B" Y4 Y3 Y2 Y Z No Reset State Specified. D = Y = Y + Y4 D2 = Y2 = Y + Y2 D3 = Y3 = Y2 + Y3 D4 = Y4 = Y3 + Y4 D D D D Y Y2 Y3 Y4 Y lock 2

17 5-27.* a) c) S R Q Q No hange Reset Set Set Problem Solutions hapter 5 b) Format: SR Present state Input Next state,,,, Q S R Q(t+) A B x x x x x A = S B = SR S R A S B R lock Q *5-3. RESET 7 x/, / / / 2 / / / / 3 2 x/, / Format: Y/Z 5 / 4 /, / / 9 Reset,,,,,, x, x,,,,,,,,. 3

18 Problem Solutions hapter * lock J K Y Q ps 5 ns ns 5 ns This simulation was performed without initializing the state of the latches of the flip-flop beforehand. Each gate in the flip-flop implementation has a delay of ns. The interaction of these delays with the input change times produced a narrow pulse in Y at about 55 ns. In this case, the pulse is not harmful since it dies out well before the positive clock edge occurs. Nevertheless, a thorough examination of such a pulse to be sure that it does not represent a design error or important timing problem is critical * ( ) 4

19 Problem Solutions hapter * library IEEE; use IEEE.std_logic_64.all; entity mux_4to is port ( S: in STD_LOGI_VETOR ( downto ); D: in STD_LOGI_VETOR (3 downto ); Y: out STD_LOGI ); end mux_4to; -- (continued in the next column) architecture mux_4to_arch of mux_4to is begin process (S, D) begin case S is when "" => Y <= D(); when "" => Y <= D(); when "" => Y <= D(2); when "" => Y <= D(3); when others => null; end case; end process; end mux_4to_arch; 5-45.* 5-49.* library IEEE; use IEEE.std_logic_64.all; entity jkff is port ( J,K,LK: in STD_LOGI; Q: out STD_LOGI ); end jkff; architecture jkff_arch of jkff is signal q_out: std_logic; begin state_register: process (LK) begin if LK'event and LK='' then --LK falling edge -- (continued in the next column) module problem_6_39 (S, D, Y) ; input [:] S ; input [3:] D ; output Y; reg Y ; // (continued in the next column) case J is when '' => if K = '' then q_out <= ''; end if; when '' => if K = '' then q_out <= ''; else q_out <= not q_out; end if; when others => null; end case; end if; end process; Q <= q_out; end jkff_arch; or D) begin if (S == 2'b) Y <= D[]; else if (S == 2'b) Y <= D[]; else if (S == 2'b) Y <= D[2]; else Y <= D[3]; end endmodule 5-53.* module JK_FF (J, K, LK, Q) ; input J, K, LK ; output Q; reg Q; LK) case (J) 'b: Q <= K? : Q; 'b: Q <= K? ~Q: ; endcase endmodule 5

20 6-.* a) F = (A + B) D Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 6 28 Pearson Education, Inc. b) G = (A + B) ( + D) 6-4* The longest path is from input or D..73 ns +.73 ns +.48 ns +.73 ns =.267 ns 6-.* a) The longest direct path delay is from input through the two OR gates to the output Y. t delay = t pdor + t pdor = =.4 ns b) The longest path from an external input to a positive clock edge is from input through the OR gate and the inverter to the B Flip-flop. t delay = t pdor + t pd INV + t sff = =.35 ns c) The longest path delay from the positive clock edge is from Flip-flop A through the two OR gates to the output Y. t delay = t pdff + 2 t pdor =.4 + 2(.2) =.8 ns d) The longest path delay from positive clock edge to positive clock edge is from clock on Flip-flop A through the OR gate and inverter to clock on Flip-flop B. t delay-clock edge to clock edge = t pdff + t pdor + t pdinv + t sff = =.75 ns e) The maximum frequency is /t delay- clock edge to clock edge. For this circuit, t delay-clock edge to clock edge is.75 ns, so the maximum frequency is /.75 ns =.33 GHz. omment: The clock frequency may need to be lower due to other delay paths that pass outside of the circuit into its environment. alculation of this frequency cannot be performed in this case since data for paths through the environment is not provided.

21 6-3.* (Errata: hange "32 8" to "64 8" ROM) Problem Solutions hapter 6 IN OUT IN OUT IN OUT IN OUT 6-9.* Assume 3-input OR gates. W B B B d d d d d d d d d d d d d d d d A A A A d d d d d d d d D D D D W = A + B + BD = B D + B + BD Y = D + D Z = D Each of the equations above is implemented using one 3-input OR gate. Four gates are used. B 2

22 Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 7 28 Pearson Education, Inc * AND OR OR 7-4.* sl sr 7-5.* Q i remains connected to MU data input. onnect D i to MU data input instead of Mux data input 3. onnect Q i- to MU data input 2 instead of MU data input. Finally, is connected to MU data input * a),,,,.... b) # States = n

23 Problem Solutions hapter * The equations given on page can be manipulated into SOP form as follows: D = Q, D 2 = Q 2 Q Q 8 = Q Q 2 Q 8 + Q Q 2 + Q 2 Q 8, D 4 = Q 4 Q Q 2 = Q Q 2 Q 4 + Q Q 4 + Q 2 Q 4, D 8 = Q 8 (Q Q 8 + Q Q 2 Q 4 ) = Q 8 (Q Q 8 +Q Q 2 Q 4 ) + Q 8 (Q + Q 8 )(Q + Q 2 + Q 4 ) = Q Q 2 Q 4 Q 8 + Q Q 8. These equations are mapped onto the K-maps for Table 7-9 below and meet the specifications given by the maps and the table. D Q 2 D 2 Q 2 Q 4 Q 4 Q 8 Q 8 Q Q To add the enable, D Q 2 D Q change D to: D = Q EN. For the other three functions, AND EN with the Q 4 Q 4 Q 8 Q 8 expression ORed with the state variable. The Q Q circuit below results. D Q Y EN D Q 2 D Q 4 D Q 8 lock 7-4.* Present state Next state A B A B a) D B = b) D = B D A = B + A D B = A B + B D = 2

24 Problem Solutions hapter * 3 lock R Load R2 Load 7-9.* 2 R LOAD D D D 2 D 3 Q Q Q 2 Q 3 R2 LOAD D D D 2 D 3 Q Q Q 2 Q 3 lock 7-24.* a) LK R2 REG 4 D (-3) Q (-3) ADD 4 I A (-3) B (-3) (-3) O 2 TR 4 Load ount R D (-3) Q (-3) O b) 2 R REG 4 D (-3) Q L (-3) ADD 4 I A (-3) B (-3) (-3) O R2 REG 4 D (-3) Q L (-3) lock 3

25 Problem Solutions hapter * a) Destination <- Source Registers R <- R, R2 R <- R4 R2 <- R3, R4 R3 <- R R4 <- R, R2 b) Source Registers -> Destination R -> R4 R -> R, R3 R2 -> R, R4 R3 -> R2 R4 -> R, R2 c) The minimum number of buses needed for operation of the transfers is three since transfer b requires three different sources. d) R R R2 R3 R4 MU MU MU 7-3.*,,,,,,,, 7-3.* Shifts: A B 7-32.* Default: Z =, Z2 = S S + 2 S2 Z2 Z Reset * State: STA, STA, STB, ST, STA, STB, ST, STA, STB Z:,,,,,,,, - 4

26 Problem Solutions hapter * Default: Z = Reset STA STB STD Z ST STE Z 7-39.* STA STB Present state Input Next state Output A B A B W W Y Y Z ST Z D A = AW + BY + D B = AW D = B ( + Y) Z = B Y + The implementation consists of the logic represented by the above equations and three D flip-flops with Reset connected to S on the first flip-flop and to R on the other two flip-flops * LA IN LK L AR LB Bit 5 A(5:) Zero LK L BR B(5:) A(4:) S MU LK L L R R B(4:) R is a synchronous reset that overides any simultaneous synchronous transfer. Default: LA =, LB =, L = Reset A G LA G B LB L 5

27 Problem Solutions hapter 7 G D R LA D R LB D R L Reset 7-48.* library IEEE; use IEEE.std_logic_64.all; entity reg_4_bit is port ( LEAR, LK: in STD_LOGI; D: in STD_LOGI_VETOR (3 downto ); Q: out STD_LOGI_VETOR (3 downto ) ); end reg_4_bit; architecture reg_4_bit_arch of reg_4_bit is begin process (LK, LEAR) begin if LEAR ='' then Q <= ""; elsif (LK'event and LK='') then Q <= D; end if; end process; --asynchronous RESET active Low --LK rising edge end reg_4_bit_arch; clk clear d q 4 6

28 7-5.* module register_4_bit (D, LK, LR, Q) ; input [3:] D ; input LK, LR ; output [3:] Q ; reg [3:] Q ; LK or negedge LR) begin if (~LR) //asynchronous RESET active low Q = 4'b; else //use LK rising edge Q = D; end endmodule Problem Solutions hapter 7 LK LR D Q * library IEEE; use IEEE.std_logic_64.all; entity prob_7_53 is port (clk, RESET, W,, Y : in STD_LOGI; Z : out STD_LOGI); end prob_7_53; architecture process_3 of prob_7_53 is type state_type is (STA, STB, ST); signal state, next_state: state_type; begin -- Process - state register state_register: process (clk, RESET) begin if (RESET = '') then state <= STA; else if (LK'event and LK='') then state <= next_state; end if; end if; end process; -- Process 2 - next state function next_state_func: process (W,, Y, state) begin case state is when STA => -- ontinued in next column if W = '' then next_state <= STB; else next_state <= STA; end if; when STB => if = '' and Y = '' then next_state <= STA; else next_state <= ST; end if; when ST => next_state <= STA; end case; end process; -- Process 3 - output function output_func: process (, Y, state) begin case state is when STA => Z <= ''; when STB => if = '' and Y = '' then Z <= ''; else Z <= ''; end if; when ST => Z <= ''; end case; end process; end process_3; 7

29 Problem Solutions hapter * // State Diagram in Figure 5-4 using Verilog module prob_7_54 (clk, RESET, W,, Y, Z); input clk, RESET, W,, Y; output Z; reg[:] state, next_state; parameter STA = 2'b, STB = 2'b, ST = 2'b; reg Z; // State Register always@(posedge clk or posedge RESET) begin if (RESET == ) state <= STA; else state <= next_state; end // Next StateFunction always@(w or or Y or state) begin case (state) STA: if (W == ) next_state <= STB; else // (continued in the next column) next_state <= STA; STB: if ( == & Y == ) next_state <= STA; else next_state <= ST; ST: next_state <= STA; endcase end // Output Function always@( or Y or state) begin Z <= ; case (state) STB: if ( == & Y == ) Z <= ; else Z <= ; ST: Z <= ; endcase end endmodule 8

30 Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 8 28 Pearson Education, Inc. 8-.* a) A = 6, D = 8 b) A = 9, D = 32 c) A = 26, D = 64 d) A = 3, D = 8-3.* Number of bits in array = 2 6 x 2 4 = 2 2 = 2 * 2 Row Decoder size = 2 a) Row Decoder = to 24, AND gates = 2 = 24 (assumes level of gates with inputs/gate) olumn Decoder = 6 to 64, AND gates = 2 6 = 64 (assumes level of gates with 6 inputs/gate) Total AND gates required = =88 b) (32) = ( ) 2, Row = 5, olumn = 8-8.* a) 2 MB/28 K x 6 = 2MB/ 256 KB = 8 b) With 2 byte/word, 2MB/2B = 2 2, Add Bits = 2 28K addresses per chip implies 7 address bits. c) 3 address lines to decoder, decoder is 3-to-8-line

31 9-2.* Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 9 = 8 28 Pearson Education, Inc. V = 8 7 Z = F 7 + F 6 + F 5 + F 4 + F 3 + F 2 + F + F N = F * = S A + S A Y = S in B + S S B + S S B + S S in A A D D S B D D D2 D3 S S in Adder Y G A A S D D S B S S S D D D2 Adder Y 2 G D3 9-4.* (Errata: Delete after problem number) = A S + A S Y = B S S + B S S S B i A i S S D Y FA i I + G i D B i D2 B i D3 9-6.* 9-8.* a) OR =, NAND =, NOR = NOR = Out = S A B + S AB + S AB + S S AB + (one of S A B + S S A) b) The above is a simplest result. (a) (b) (c) (d)

32 Problem Solutions hapter 9 9-.* (a) R5 R4 R5 R5 = (d) R5 R R5 = (b) R6 R2 + R4 + R6 = (e) R4 sronstant R4 = (c) R5 R R5 = (f) R3 Data in R3 = 9-3.* a) Opcode = 8 bits b) 8 bits c) 262,44 d) +3,7 and 3,72 2

33 Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 28 Pearson Education, Inc. -2.* a) LD R, E b) MOV T, A c) LD E LD R2, F ADD T, B MUL F MUL R, R, R2 MUL T, ST T LD R2, D MOV T2, E LD D SUB R, R2, R MUL T2, F SUB T LD R2, MOV T3, D ST T DIV R, R2, R SUB T3, T2 LD A LD R2, A DIV T, T3 ADD B LD R3, B MOV Y, T MUL ADD R2, R2, R3 DIV T MUL R, R, R2 ST Y ST Y, R -3.* a) (A - B) x (A + ) x (B - D) = A B - A +x B D -x b, c) PUSH A PUSH B SUB PUSH A PUSH ADD A B A-B A A+ A A-B A A-B A-B MUL PUSH B PUSH D SUB MUL POP (A-B)x(A+) B D B-D (A-B)x(A+)x(B-D) (A-B)x(A+) B (A-B)x(A+) (A-B)x(A+) -6.* a) = = 4 b) = The number is negative because the branch is backwards. The assumes that the P has been incremented to point to the address after that of the address word of the instruction. -.* a) 3 Register Fields x 4 bits/field = 2 bits. 32 bits - 2 bits = 2 bits. 2 2 = b) 64 < < 28 => 7 bits. 2 Register Fields x 4 bits/field => 8 bits. 32 bits - 7 bits - 8 bits => 7 Address Bits

34 Problem Solutions hapter -4.* -7.* -9.* a) ADD R, R4 b) R 7B + 4B, R = 6, = AD R, R5 R 24 + ED +, R =, = AD R2, R6 R , R2 = E, = AD R3, R7 R3 F + +, R3 = 2, = Result OP Register SHR SHL SHRA SHLA ROR ROL ROR ROL Smallest Number = Largest Number = ( 2 26 ) * E e (e) * TEST () 6, R (AND Immediate with Register R) BNZ ADRS (Branch to ADRS if Z = ) 2

35 Problem Solutions hapter -25.* a) A = 93 B = - 92 A B = b) (borrow) =, Z = c) BA, BAE, BNE -27.* -3.* External Interrupts: ) Hard Drive 2) Mouse 3) Keyboard 4) Modem 5) Printer P SP TOS a) Initially b) After all c) After Return Internal Interrupts: ) Overflow 2) Divide by zero 3) Invalid opcode 4) Memory stack overflow 5) Protection violation A software interrupt provides a way to call the interrupt routines normally associated with external or internal interrupts by inserting an instruction into the code. Privileged system calls for example must be executed through interrupts in order to switch from user to system mode. Procedure calls do not allow this change. 3

36 -2.* -6.* ycle : Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 28 Pearson Education, Inc. a) The latency time =.5 ns x 8 = 4. ns. b) The maximum throughput is instruction per cycle or 2 billion instructions per second. c) The time required to execute is instruction + 8 pipe stages - = 7 cycles *.5ns = 8.5ns P = F ycle 2: P - =, IR = 448 2F 6 ycle 3: P -2 =, RW =, DA =, MD =, BS =, PS =, MW =, FS = 2, SH =, MA =, MB = BUS A = F, BUS B = 2F ycle 4: RW =, DA =, MD =, D = 2F2, D =, D2 = ycle 5: R = 2F2 -.* MOVA R7, R6 SUB R8, R8, R6 AND R8, R8, R IF DOF E WB Data Hazard IF DOF E WB IF DOF E WB -2.* a) MOV R7,R6 SUB R8,R8,R6 NOP AND R8,R8,R IF DOF E WB IF DOF E WB IF DOF E WB IF DOF E WB b) SUB R7,R7,R2 NOP BNZ R7,F NOP NOP AND R8,R7,R4 NOP OR R4,R8,R IF DOF E WB IF DOF E WB IF DOF E WB IF DOF E WB IF DOF E WB IF DOF E WB IF DOF E WB IF DOF E WB

37 Problem Solutions hapter -5.* Time ycle IF P: DOF P - : IR: E P -2 : A: B: RW: DA: MD: BS: PS: MW: FS: MB: MA: S: D': WB D: D: D2: RW: DA: MD: Time ycle 2 IF P: 2 DOF P - : 2 IR: A73 88 E P -2 : A: B: RW: DA: MD: BS: PS: MW: FS: MB: MA: S: D': WB D: D: D2: RW: DA: MD: Time ycle 3 IF P: 3 DOF P - : 3 IR: 93 8F E P -2 : 2 A: 3 B: RW: DA:7 MD: BS: PS: MW: FS:5 MB: MA: S: D': WB D: D: D2: RW: DA: MD: Time ycle 4 IF P: 4 DOF P - : 4 IR:83 9 E P -2 : 3 A: 2 B: RW: DA: MD: BS: PS: MW: FS: MB: MA:2 S: D': WB D: 2 D: D2: RW: DA:7 MD: P: 2 Time ycle 5 IF P: 3 R7: 2 DOF P - : 3 IR: E P -2 : 4 A: 2 B: 2 RW: DA: 8 MD: BS: PS: MW: FS: 8 MB: MA: S: D': WB D: 2 D: D2: RW: DA: MD: Time ycle 6 IF P: 4 DOF P - : 4 IR: E P -2 : 3 A: 2 B: RW: DA: 4 MD: BS: PS: MW: FS: 9 MB: MA: S: D': WB D: D: D2: RW: DA: 8 MD: Time ycle 7 IF P: 4 R7: 2 DOF P - : 4 IR: E P -2 : 3 A: B: RW: DA: MD: BS: PS: MW: FS: MB: S: D : WB D: D: D2: RW: DA: 4 MD: Time ycle 8 R4: Fields not specified above have fixed values throughout or are unused: SH. Based on the register contents, the branch is taken. The data hazards are avoided, but due to the control hazard, the last two instructions are erroneously executed. 2

38 Problem Solutions hapter -8.* AA 3: A 3: D D FAA 3: FAA 4 S DA 3: BA 3: D D FBA 3: FBA 4 BA 3: DA 3: D D FDA 3: FDA 4 B 3: D2 D 3: D2 B 3: D3 D 3: D3 S S S S A 4 B 4 D 4 D D D 2 D 3 D 4-22.* (a) Add with carry R M P M L M Action Address MZ A W D D BS S W FS MA B A B S R 3 AW 2 F 8 R 6 RSA [ ] + RSB [ ] AW 2 if (R 3 =) M AW5 AW2 AW5 F else M M + M M + (NOP) AW3 RDR [ ] R 6 + AW4 2 M IDLE AW5 IDLE (a) Subtract with borrow R M P M L M Action Address MZ A W D D BS S W FS MA B A B S R 3 R 6 RSA [ ] R[ SB] SWB 2 F 8 SWB 5 if (R 3 ) M SWB5 SWB2 SWB5 F else M M + M M + (NOP) SWB3 RDR [ ] R 6 SWB4 5 M IDLE SWB5 IDLE 3

39 Problem Solutions hapter -24.* Memory Scalar Add (Assume R[SB] > to simplify coding) R M P M L M Action Address MZ A W D D BS S W FS MA B A B S R 6 R 8 R RSB [ ] R 6 R 6 M M + R 7 M M + RSA [ ] + R 6 if (R 6 ) M MSA2 else M M + R 8 MR [ 7 ] + R 8 RDR [ ] R 7 M IDLE MSA MSA 2 MSA2 5 (NOP) MSA3 MSA4 2 (NOP) MSA5 MSA6 MSA2 MSA7 2 2 MSA8 MSA9 IDLE 4

40 2-.* Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 2 28 Pearson Education, Inc. Heads x (cylinders/head) x (sectors/cylinder) x ( cylinder/track) x (bytes/sector) a) x 23 x 63 x 52 = 32,224.5 Kbytes (K = 24) b) 4 x 89 x 63 x 52 =,32,66 Kbytes c) 6 x 6383 x 63 x 52 = 8,257,32 Kbytes 2-5.* a) If each address line is used for a different S input, there will be no way to address the four registers so 2 bits are needed to address the registers. Only 4 lines can be used for S inputs permitting at most 4 I/O Interface Units to be supported. b) Since two bits must be used to address the four registers, there are 4 bits remaining and 2 4 or 6,384 distinct I/O Interface Units can be supported. 2-7.* A given address can be shared by two registers if one is write only and one is read only. If a register is both written to and read from the bus, then it needs its own address. An 8-bit address provides 256 addresses. Suppose that the 5 % of registers requiring address is. Then the remaining 5 % of the registers, also can share addresses requiring only.5 addresses. So.5 = 256 and = 7.67 registers for a total of registers. To meet the original constraints exactly, the total number of registers must be divisible by 4, so 34 registers can be used, 7 of which are read/ write, 85 of which are read only and 85 of which are write only. There is one more address available for either one read/write register or up to a pair with a read only register and a write only register. 2-9.* (a) (b) Read Operation PU Data Bus Address Bus RD Strobe WR Strobe I/O Device Data bus Address bus Read Strobe Write Strobe From I/O Data bus Write Operation From PU Address bus Read Strobe Write Strobe 2-.* There are 7 edges in the NRZI waveform for the SYN pattern that can be used for synchronization.

41 Problem Solutions hapter * SYN 8 bits Type 4 bits heck 4 bits Device Address Endpoint Address R EOP (a) Output packet SYN 8 bits Type 4 bits heck 4 bits Data R EOP (b) Data packet (Data type) (bits LSB first) SYN 8 bits Type 4 bits heck 4 bits EOP (c) Handshake packet (Stall type) 2-6.* 2-8.* 2-2.* 2-22.* Device Device Device 2 Description PI PO RF VAD PI PO RF VAD PI PO RF VAD Initially Before PU acknowledges Device After PU sends acknowledge - - Replace the six leading s with. This is Figure 3-7 with the Interrupt and Mask Registers increased to 6 bits each, and the 4x2 Priority Encoder replaced by a 8x3 Priority Encoder. Additionally, VAD must accept a 3rd bit from the Priority Encoder. When the PU communicates with the DMA, the read and write lines are used as DMA inputs. When the DMA communicates with the Memory, these lines are used as outputs from the DMA. 2

42 Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 3 28 Pearson Education, Inc. 3-3.* Since the lines are 32 bytes, 5 bits are used to address bytes in the lines. Since there are K bytes, there are 24/32 = 2 5 cache lines. a) Index = 5 Bits, b) Tag = = 22 Bits c) 32 ( ) = 8928 bits 3-5.* a) See Instruction and Data aches section on page 635 of the text. b) See Write Methods section on page 63 of the text * (i) (i4) (i6) (i) (i) (d) (i7) (d) (i2) (i5) (i8) (i) (i3) (d) (i9) (d) Addresses of instructions (i) and Data (d) in sequence down and then to the right with the instructions in a loop with instruction i following i. For the split cache, the hit - miss pattern for instructions is (assuming the cache initially empty and LRU replacement) M, M, M, M, M, M, M, M, M, M, M, M, M,... since there are only eight locations available for instructions. For the unified cache, the hit-miss pattern for instructions with the same assumptions is M, M, M, M, M, M, M, M, M, M, M, M, H, H, H,... since there are 2 locations indexed appropriately for instructions and four indexed appropriately for data. 3-.* 3-3.* a) Effective Access Time =.9 * 4ns +.9 * 4 ns = 7.24 ns b) Effective Access Time =.82 * 4ns +.8* 4 ns =.48 ns c) Effective Access Time =.96 * 4ns +.4 * 4 ns = 5.44 ns a) Each page table handles 52 pages assuming 64-bit words. There are 4263 pages which requires 4263/ page tables. So 9 page tables are needed. b) 9 directory entries are needed, requiring directory page. c) *52 = 67 entries in the last page table. 3-7.* In section 4-3, it is mentioned that write-through in caches can slow down processing, but this can be avoided by using write buffering. When virtual memory does a write to the secondary device, the amount of data being written is typically very large and the device very slow. These two factors generally make it impossible to do write-through with virtual memory. Either the slow down is prohibitively large, or the buffering cost is just too high.

M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey

M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey Instructor s Manual for Logic and omputer esign Fundamentals - 3rd Edition - Partial Preliminary Release M. Morris Mano harles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River,

More information

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference) ECE 3401 Lecture 23 Pipeline Design Control State Register Combinational Control Logic New/ Modified Control Word ISA: Instruction Specifications (for reference) P C P C + 1 I N F I R M [ P C ] E X 0 PC

More information

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem HPTER 2 2-.* a) XYZ = X + Y + Z Verification of DeMorgan s Theorem Pearson Education Limited 206. X Y Z XYZ XYZ X + Y + Z 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 b) X + YZ = ( X + Y) ( X + Z) The Second

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function Question Paper Digital Electronics (EE-204-F) MDU Examination May 2015 1. (a) represent (32)10 in (i) BCD 8421 code (ii) Excess-3 code (iii) ASCII code (b) Design half adder using only NAND gates. ( c)

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 8 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 ounting in Binary As you know, the binary

More information

CHAPTER * 6-2. a) 3-input NAND gate b) 4-input NOR gate * Pearson Education, Inc. a) F = (A + B) C D. b) G = (A + B) (C + D)

CHAPTER * 6-2. a) 3-input NAND gate b) 4-input NOR gate * Pearson Education, Inc. a) F = (A + B) C D. b) G = (A + B) (C + D) HPTER 6 6-.* a) = ( + ) b) G = ( + ) ( + ) 200 Pearson Education, Inc. 6-2. a) 3-input NN gate b) 4-input NOR gate +V +V 6-3. 6 inputs 6 inputs 6 inputs 6-4.* The longest path is from input or. 0.073 ns

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

DE58/DC58 LOGIC DESIGN DEC 2014

DE58/DC58 LOGIC DESIGN DEC 2014 Q.2 a. In a base-5 number system, 3 digit representations is used. Find out (i) Number of distinct quantities that can be represented.(ii) Representation of highest decimal number in base-5. Since, r=5

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures Chapter Additional Design Examples Verilog HDL:Digital Design and Modeling Chapter Additional Design Examples Additional Figures Chapter Additional Design Examples 2 Page 62 a b y y 2 y 3 c d e f Figure

More information

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car Review Problem 1 v Describe when the dome/interior light of the car should be on. v DriverDoorOpen = true if lefthand door open v PassDoorOpen = true if righthand door open v LightSwitch = true if light

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

Chapter 6. Synchronous Sequential Circuits

Chapter 6. Synchronous Sequential Circuits Chapter 6 Synchronous Sequential Circuits In a combinational circuit, the values of the outputs are determined solely by the present values of its inputs. In a sequential circuit, the values of the outputs

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

EEE Lecture 1 -1-

EEE Lecture 1 -1- EEE3410 - Lecture 1-1- 1. PC -> Address Move content of the Program Counter to Address Bus 2. Mem(Add) -> ID Move the Data at Location Add from main memory to Instruction Decoder (ID) 3. Acc s -> ALU Move

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5.

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5. CHPTER 9 2008 Pearson Education, Inc. 9-. log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C 7 Z = F 7 + F 6 + F 5 + F 4 + F 3 + F 2 + F + F 0 N = F 7 9-3.* = S + S = S + S S S S0 C in C 0 dder

More information

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12]

More information

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller 2-. piral 2- Datapath Components: Counters s Design Example: Crosswalk Controller 2-.2 piral Content Mapping piral Theory Combinational Design equential Design ystem Level Design Implementation and Tools

More information

CSC 322: Computer Organization Lab

CSC 322: Computer Organization Lab CSC 322: Computer Organization Lab Lecture 3: Logic Design Dr. Haidar M. Harmanani CSC 322: Computer Organization Lab Part I: Combinational Logic Dr. Haidar M. Harmanani Logical Design of Digital Systems

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

COE 328 Final Exam 2008

COE 328 Final Exam 2008 COE 328 Final Exam 2008 1. Design a comparator that compares a 4 bit number A to a 4 bit number B and gives an Output F=1 if A is not equal B. You must use 2 input LUTs only. 2. Given the following logic

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I : BOOLEAN ALGEBRA AND LOGIC GATES PART - A (2 MARKS) Number

More information

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing)

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing) Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing) Look on the back of the title page of the textbook (the copyright page) and you will find a line that reads either 3 4 5 6 7 06

More information

Unit 16 Problem Solutions

Unit 16 Problem Solutions 5.28 (contd) I. None II. (4, 7)ü (6, 7)ü (2, 4)ü (2, 6)ü Assignment: S =, =, =, =, = A B S Present ate Next ate W = Output S S S Present ate Next ate W = Output T input equations derived from the transition

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

Written exam with solutions IE1204/5 Digital Design Monday 23/

Written exam with solutions IE1204/5 Digital Design Monday 23/ Written exam with solutions IE204/5 Digital Design Monday 23/0 207 4.00-8.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist Exam text has to be returned when you hand in your

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

UNIVERSITI TENAGA NASIONAL. College of Information Technology

UNIVERSITI TENAGA NASIONAL. College of Information Technology UNIVERSITI TENAGA NASIONAL College of Information Technology BACHELOR OF COMPUTER SCIENCE (HONS.) FINAL EXAMINATION SEMESTER 2 2012/2013 DIGITAL SYSTEMS DESIGN (CSNB163) January 2013 Time allowed: 3 hours

More information

COMBINATIONAL LOGIC FUNCTIONS

COMBINATIONAL LOGIC FUNCTIONS COMBINATIONAL LOGIC FUNCTIONS Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends only on the present

More information

Chapter 7. Sequential Circuits Registers, Counters, RAM

Chapter 7. Sequential Circuits Registers, Counters, RAM Chapter 7. Sequential Circuits Registers, Counters, RAM Register - a group of binary storage elements suitable for holding binary info A group of FFs constitutes a register Commonly used as temporary storage

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Chapter 7. VLSI System Components

Chapter 7. VLSI System Components VLSI Design Chapter 7 VLSI System Components Jin-Fu Li Chapter 7 VLSI System Components Introduction Datapath Operators Memory Elements Control Structures 2 System-Level Hierarchy System (Top) Complex

More information

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan Combinational Logic Mantıksal Tasarım BBM23 section instructor: Ufuk Çelikcan Classification. Combinational no memory outputs depends on only the present inputs expressed by Boolean functions 2. Sequential

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

Design of Datapath Controllers

Design of Datapath Controllers Design of Datapath Controllers Speaker: 俞子豪 Adviser: Prof. An-Yeu Wu ACCESS IC LAB Outline vsequential Circuit Model vfinite State Machines vuseful Modeling Techniques P. 2 Model of Sequential Circuits

More information

PART-A. 2. Expand ASCII and BCD ASCII American Standard Code for Information Interchange BCD Binary Coded Decimal

PART-A. 2. Expand ASCII and BCD ASCII American Standard Code for Information Interchange BCD Binary Coded Decimal PART-A 1. What is radix? Give the radix for binary, octal, decimal and hexadecimal Radix is the total number of digits used in a particular number system Binary - 2 (0,1) Octal - 8 (0 to 7) Decimal - 10

More information

Fundamentals of Boolean Algebra

Fundamentals of Boolean Algebra UNIT-II 1 Fundamentals of Boolean Algebra Basic Postulates Postulate 1 (Definition): A Boolean algebra is a closed algebraic system containing a set K of two or more elements and the two operators and

More information

UNIVERSITY OF WISCONSIN MADISON

UNIVERSITY OF WISCONSIN MADISON CS/ECE 252: INTRODUCTION TO COMPUTER ENGINEERING UNIVERSITY OF WISCONSIN MADISON Prof. Gurindar Sohi TAs: Minsub Shin, Lisa Ossian, Sujith Surendran Midterm Examination 2 In Class (50 minutes) Friday,

More information

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of 27 WORKBOOK Detailed Eplanations of Try Yourself Questions Electrical Engineering Digital Electronics Number Systems and Codes T : Solution Converting into decimal number system 2 + 3 + 5 + 8 2 + 4 8 +

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2017/2018 Dept. of Computer Engineering Course Title: Logic Circuits Date: 29/01/2018

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

Basic Computer Organization and Design Part 3/3

Basic Computer Organization and Design Part 3/3 Basic Computer Organization and Design Part 3/3 Adapted by Dr. Adel Ammar Computer Organization Interrupt Initiated Input/Output Open communication only when some data has to be passed --> interrupt. The

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3 . What is a multiplexer? esign a 4 to multiplexer using logic gates. Write the truth table and explain its working principle. Answer: is a circuit with many inputs but only one output. esigning of 4 to

More information

Contemporary Logic Design, 2 nd Edition Errata as of 28 September 2005

Contemporary Logic Design, 2 nd Edition Errata as of 28 September 2005 Contemporary Logic Design, 2 nd Edition Errata as of 28 September 2005 Front Matter None reported so far. Chapter 1 Page 2: the 20mm measurement in Figure 1.1 is incorrect and should be corrected. Page

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Digital logic circuits BINARY NUMBER SYSTEM electronic circuits that handle information encoded in binary form (deal with signals that have only two values, and ) Digital. computers,

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Introduction Logic Gates Boolean Algebra Map Specification Combinational Circuits Flip-Flops Sequential Circuits Memory Components Integrated Circuits Digital Computers 2 LOGIC GATES

More information

Chapter 3 Combinational Logic Design

Chapter 3 Combinational Logic Design Logic and Computer Design Fundamentals Chapter 3 Combinational Logic Design Part 2 Combinational Logic Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life...

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life... Part 1: Digital Logic and Gates Analog vs Digital waveforms An analog signal assumes a continuous range of values: v(t) ANALOG A digital signal assumes discrete (isolated, separate) values Usually there

More information

University of Toronto Faculty of Applied Science and Engineering Final Examination

University of Toronto Faculty of Applied Science and Engineering Final Examination University of Toronto Faculty of Applied Science and Engineering Final Examination ECE 24S - Digital Systems Examiner: Belinda Wang, Jianwen Zhu 2: - 4:3pm, April 26th, 24 Duration: 5 minutes (2.5 hours)

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS Unit : I - V Unit : I Overview Fundamentals of Computers Characteristics of Computers Computer Language Operating Systems Generation of Computers 2 Definition of

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12] Q.1(a) (i) Derive AND gate and OR gate

More information

Number Systems 1(Solutions for Vol 1_Classroom Practice Questions)

Number Systems 1(Solutions for Vol 1_Classroom Practice Questions) Chapter Number Systems (Solutions for Vol _Classroom Practice Questions). ns: (d) 5 x + 44 x = x ( x + x + 5 x )+( x +4 x + 4 x ) = x + x + x x +x+5+x +4x+4 = x + x + x 5x 6 = (x6) (x+ ) = (ase cannot

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline. Review: Designing with FSM EECS 150 - Components and Design Techniques for Digital Systems Lec09 Counters 9-28-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

EECS 270 Midterm 2 Exam Answer Key Winter 2017

EECS 270 Midterm 2 Exam Answer Key Winter 2017 EES 270 Midterm 2 Exam nswer Key Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of the exam

More information

CMPT-150-e1: Introduction to Computer Design Final Exam

CMPT-150-e1: Introduction to Computer Design Final Exam CMPT-150-e1: Introduction to Computer Design Final Exam April 13, 2007 First name(s): Surname: Student ID: Instructions: No aids are allowed in this exam. Make sure to fill in your details. Write your

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - II Combinational Logic Adders subtractors code converters binary parallel adder decimal adder magnitude comparator encoders decoders multiplexers demultiplexers-binarymultiplier Parity generator

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

Save from: cs. Logic design 1 st Class أستاذ المادة: د. عماد

Save from:   cs. Logic design 1 st Class أستاذ المادة: د. عماد Save from: www.uotiq.org/dep cs Logic design 1 st Class أستاذ المادة: د. عماد استاذة المادة: م.م ميساء Contents Lectured One: Number system operation 1- Decimal numbers. 2- Binary numbers. 3- Octal numbers.

More information

Schedule. ECEN 301 Discussion #25 Final Review 1. Date Day Class No. 1 Dec Mon 25 Final Review. Title Chapters HW Due date. Lab Due date.

Schedule. ECEN 301 Discussion #25 Final Review 1. Date Day Class No. 1 Dec Mon 25 Final Review. Title Chapters HW Due date. Lab Due date. Schedule Date Day Class No. Dec Mon 25 Final Review 2 Dec Tue 3 Dec Wed 26 Final Review Title Chapters HW Due date Lab Due date LAB 8 Exam 4 Dec Thu 5 Dec Fri Recitation HW 6 Dec Sat 7 Dec Sun 8 Dec Mon

More information

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times.

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times. S.E. Sem. III [ETRX] Digital ircuit Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80. Solve following : [20].(a) Explain characteristics of logic families. [5] haracteristics of logic families are

More information

COMPUTER SCIENCE TRIPOS

COMPUTER SCIENCE TRIPOS CST.2014.2.1 COMPUTER SCIENCE TRIPOS Part IA Tuesday 3 June 2014 1.30 to 4.30 pm COMPUTER SCIENCE Paper 2 Answer one question from each of Sections A, B and C, and two questions from Section D. Submit

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm02 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Nov. 16 th In normal lecture (13:00-14:15)

More information

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect.

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect. 9- Write D data Load eable A address A select B address B select Load R 2 2 Load Load R R2 UX 2 3 UX 2 3 2 3 Decoder D address 2 Costat i Destiatio select 28 Pearso Educatio, Ic.. orris ao & Charles R.

More information